IRI Frankfurt. Norbert Abel, Christian Stüllein, Udo Kebschull. Partitions and Partial Reconfiguration

Size: px
Start display at page:

Download "IRI Frankfurt. Norbert Abel, Christian Stüllein, Udo Kebschull. Partitions and Partial Reconfiguration"

Transcription

1 IRI Frankfurt Norbert Abel, Christian Stüllein, Udo Kebschull Partitions and Partial Reconfiguration

2 History ISE 1 ISE 2 ISE 3 ISE 4 ISE 5 No PR at all ISE 6 ISE 7 ISE 8 ISE 9 PREA patch ISE 10 ISE 11 New approach based on Partitions & official support ISE 12 ISE 13 Page 2

3 Motivation Black, Donovan, Bunton, Keist SystemC: From the Ground Up Page 3

4 Motivation High Level Synthesis Team Design Hierarchical Design & Re-Use Page 4

5 Partitions Partitions are Xilinx's approach to Team Design and Hierarchical Design Tool: PlanAhead Page 5

6 RTL Project Page 6

7 RTL Project Page 7

8 RTL Project clkbufds: ibufgds port map (O=>clk, I=>clk_p, IB=>clk_n); team1_inst: team1 port map (clk=>clk, led=>led1); team2_inst: team2 port map (clk=>clk, led=>led2); Page 8

9 RTL Project process (clk) begin if rising_edge(clk) then c <= c + 1; end if; end process; led(3 downto 0) <= c (31 downto 28); Page 9

10 RTL Project process (clk) begin if rising_edge(clk) then c(31 downto 0) <= c(30 downto 0) & c(31); end if; end process; led(3 downto 0) <= c (31 downto 28); Page 10

11 RTL Project Page 11

12 RTL Project Page 12

13 RTL Project Page 13

14 RTL Project Page 14

15 RTL Project Page 15

16 RTL Project Page 16

17 RTL Project Page 17

18 RTL Project Page 18

19 RTL Project 3 Levels of Design Preservation: Synthesis Placement Routing Page 19

20 NGC Project Page 20

21 NGC Project Differences to the RTL Project: Sources: NGC files (netlists) ==> Requires external synthesis Instantiates team1 and team2 as black box top.ngc team1.ngc team2.ngc general Synthesis hints: - deactivate automatically generated I/O buffers - instantiate all I/O buffers directly Page 21

22 NGC Project Differences to the RTL Project: 3 Levels of Design Preservation: Synthesis (same as Implement) Placement Routing Page 22

23 Partial Reconfiguration Requires a special PR-License No patches needed any longer! Page 23

24 Partial Reconfiguration PR designs are NGC designs Sources: NGC files (netlists) ==> Requires external synthesis Instantiates subcomponents as black boxes top.ngc staticmodule.ngc recomodule1.ngc general Synthesis hints: - deactivate automatically generated I/O buffers - instantiate all I/O buffers directly recomodule2.ngc no BUFGs, PLLs, DCMs, etc. allowed Page 24

25 Partial Reconfiguration Page 25

26 Partial Reconfiguration Page 26

27 Partial Reconfiguration Page 27

28 Partial Reconfiguration Page 28

29 Partial Reconfiguration Page 29

30 Partial Reconfiguration Page 30

31 Partial Reconfiguration Page 31

32 Partial Reconfiguration Page 32

33 Partial Reconfiguration Page 33

34 Partial Reconfiguration Page 34

35 Partial Reconfiguration Page 35

36 Partial Reconfiguration Page 36

37 Partial Reconfiguration Page 37

38 Partial Reconfiguration Page 38

39 Partial Reconfiguration! Page 39

40 Partial Reconfiguration recomodule1 proxy logic (LUT1) staticmodule recomodule2 FPGA Page 40

41 Partial Reconfiguration Page 41

42 Partial Reconfiguration Page 42

43 Conclusion recomodule1 proxy logic (LUT1) staticmodule Problems: Timing change of static Module causes rebuild of all Modules a Areas using m Modules => m configurations => m full implementation runs => m full bitfiles => a m partial bitfiles no support of Spartan-6 recomodule2 FPGA Our aims: clear Timing strict module separation movable bitfiles Page 43

44 Questions? Page 44

Modeling Registers and Counters

Modeling Registers and Counters Lab Workbook Introduction When several flip-flops are grouped together, with a common clock, to hold related information the resulting circuit is called a register. Just like flip-flops, registers may

More information

Techniques de conception et applications sur FPGAs partiellement reconfigurables

Techniques de conception et applications sur FPGAs partiellement reconfigurables Techniques de conception et applications sur FPGAs partiellement reconfigurables Jean-Philippe Delahaye Doctorant à Supélec SUPELEC - Campus de Rennes - FRANCE SCEE team Signal, Communication and Embedded

More information

High-Level Synthesis for FPGA Designs

High-Level Synthesis for FPGA Designs High-Level Synthesis for FPGA Designs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer consultant Cereslaan 10b 5384 VT Heesch

More information

Isolation Design Flow for Xilinx 7 Series FPGAs or Zynq-7000 AP SoCs (ISE Tools)

Isolation Design Flow for Xilinx 7 Series FPGAs or Zynq-7000 AP SoCs (ISE Tools) XAPP1086 (v1.3.1) February 5, 2015 Application Note: 7 Series FPGAs and Zynq-7000 AP SoC Isolation Design Flow for Xilinx 7 Series FPGAs or Zynq-7000 AP SoCs (ISE Tools) Author: Ed Hallett Summary This

More information

FPGA Synthesis Example: Counter

FPGA Synthesis Example: Counter FPGA Synthesis Example: Counter Peter Marwedel Informatik XII, U. Dortmund Gliederung Einführung SystemC Vorlesungen und Programmierung FPGAs - Vorlesungen - VHDL-basierte Konfiguration von FPGAs mit dem

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Clifford Wolf, Johann Glaser, Florian Schupfer, Jan Haase, Christoph Grimm Computer Technology /99 Overview Ultra-Low-Power

More information

TIP-VBY1HS Data Sheet

TIP-VBY1HS Data Sheet Preliminary DATA SHEET Preliminary TIP-VBY1HS Data Sheet V-by-One HS Standard IP for Xilinx FPGA Rev.1.00 Tokyo Electron Device Ltd. Rev1.00 1 Revision History The following table shows the revision history

More information

SDLC Controller. Documentation. Design File Formats. Verification

SDLC Controller. Documentation. Design File Formats. Verification January 15, 2004 Product Specification 11 Stonewall Court Woodcliff Lake, NJ 07677 USA Phone: +1-201-391-8300 Fax: +1-201-391-8694 E-mail: info@cast-inc.com URL: www.cast-inc.com Features AllianceCORE

More information

RTL Technology and Schematic Viewers

RTL Technology and Schematic Viewers RTL Technology and Schematic Viewers Tutorial [optional] [optional] Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Case Study: Improving FPGA Design Speed with Floorplanning

Case Study: Improving FPGA Design Speed with Floorplanning Case Study: Improving FPGA Design Speed with Floorplanning - An introduction to Xilinx PlanAhead 10.1 by Consultant Kent Salomonsen (kent.salomonsen@teknologisk.dk) Picture this: the RTL is simulating

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

Prototyping ARM Cortex -A Processors using FPGA platforms

Prototyping ARM Cortex -A Processors using FPGA platforms Prototyping ARM Cortex -A Processors using FPGA platforms Brian Sibilsky and Fredrik Brosser April 2016 Page 1 of 17 Contents Introduction... 3 Gating... 4 RAM Implementation... 7 esign Partitioning...

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Using Xilinx ISE for VHDL Based Design

Using Xilinx ISE for VHDL Based Design ECE 561 Project 4-1 - Using Xilinx ISE for VHDL Based Design In this project you will learn to create a design module from VHDL code. With Xilinx ISE, you can easily create modules from VHDL code using

More information

ISE In-Depth Tutorial. UG695 (v14.1) April 24, 2012

ISE In-Depth Tutorial. UG695 (v14.1) April 24, 2012 ISE In-Depth Tutorial Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To the maximum extent permitted by

More information

FPGA Clocking. Clock related issues: distribution generation (frequency synthesis) multiplexing run time programming domain crossing

FPGA Clocking. Clock related issues: distribution generation (frequency synthesis) multiplexing run time programming domain crossing FPGA Clocking Clock related issues: distribution generation (frequency synthesis) Deskew multiplexing run time programming domain crossing Clock related constraints 100 Clock Distribution Device split

More information

AES (Rijndael) IP-Cores

AES (Rijndael) IP-Cores AES (Rijndael) IP-Cores Encryption/Decryption and Key Expansion Page 1 Revision History Date Version Description 24 February 2006 1.0 Initial draft. 15 March 2006 1.1 Block diagrams added. 26 March 2006

More information

System Level Modeling of Dynamic Reconfigurable System-on-Chip

System Level Modeling of Dynamic Reconfigurable System-on-Chip System Level Modeling of Dynamic Reconfigurable System-on-Chip Elena Suvorova, Nadezhda Matveeva, Alexey Rabin, Valentin Rozanov Saint-Petersburg State University of Aerospace Instrumentation Saint-Petersburg,

More information

Programmable Logic Design Grzegorz Budzyń Lecture. 10: FPGA clocking schemes

Programmable Logic Design Grzegorz Budzyń Lecture. 10: FPGA clocking schemes Programmable Logic Design Grzegorz Budzyń Lecture 10: FPGA clocking schemes Plan Introduction Definitions Clockskew Metastability FPGA clocking resources DCM PLL Introduction One of the most important

More information

FPGA-based Safety Separation Design Flow for Rapid IEC 61508 Certification

FPGA-based Safety Separation Design Flow for Rapid IEC 61508 Certification 2015.04.15 FPGA-based Safety Separation Design for Rapid IEC 61508 Certification an704 Subscribe This design flow significantly reduces the certification efforts for the lifetime of an FPGA-based industrial

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

An Open Source Circuit Library with Benchmarking Facilities

An Open Source Circuit Library with Benchmarking Facilities An Open Source Circuit Library with Benchmarking Facilities Mariusz Grad and Christian Plessl Paderborn Center for Parallel Computing, University of Paderborn {mariusz.grad christian.plessl}@uni-paderborn.de

More information

PLL Dynamic Reconfiguration Author: Karl Kurbjun and Carl Ribbing

PLL Dynamic Reconfiguration Author: Karl Kurbjun and Carl Ribbing Application Note: Spartan-6 Family XAPP7 (v1.1) October 6, 011 PLL Dynamic Reconfiguration Author: Karl Kurbjun and Carl Ribbing Summary This application note provides a method to dynamically change the

More information

YAML: A Tool for Hardware Design Visualization and Capture

YAML: A Tool for Hardware Design Visualization and Capture YAML: A Tool for Hardware Design Visualization and Capture Vivek Sinha, Frederic Doucet, Chuck Siska, Rajesh Gupta, Stan Liao, Abhijit Ghosh Center for Embedded Computer Systems, University of California,

More information

HDL Simulation Framework

HDL Simulation Framework PPC-System.mhs CoreGen Dateien.xco HDL-Design.vhd /.v SimGen HDL Wrapper Sim-Modelle.vhd /.v Platgen Coregen XST HDL Simulation Framework RAM Map Netzliste Netzliste Netzliste UNISIM NetGen vcom / vlog.bmm.ngc.ngc.ngc

More information

A Methodology and the Tool for Testing SpaceWire Routing Switches Session: SpaceWire test and verification

A Methodology and the Tool for Testing SpaceWire Routing Switches Session: SpaceWire test and verification A Methodology and the Tool for Testing SpaceWire Routing Switches Session: SpaceWire test and verification Elena Suvorova Saint-Petersburg University of Aerospace Instrumentation. 67, B. Morskaya, Saint-

More information

Source-Synchronous Serialization and Deserialization (up to 1050 Mb/s) Author: NIck Sawyer

Source-Synchronous Serialization and Deserialization (up to 1050 Mb/s) Author: NIck Sawyer Application Note: Spartan-6 FPGAs XAPP1064 (v1.2) November 19, 2013 Source-Synchronous Serialization and Deserialization (up to 1050 Mb/s) Author: NIck Sawyer Summary Spartan -6 devices contain input SerDes

More information

Rapid System Prototyping with FPGAs

Rapid System Prototyping with FPGAs Rapid System Prototyping with FPGAs By R.C. Coferand Benjamin F. Harding AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO Newnes is an imprint of

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

Networking Virtualization Using FPGAs

Networking Virtualization Using FPGAs Networking Virtualization Using FPGAs Russell Tessier, Deepak Unnikrishnan, Dong Yin, and Lixin Gao Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Massachusetts,

More information

Header Parsing Logic in Network Switches Using Fine and Coarse-Grained Dynamic Reconfiguration Strategies

Header Parsing Logic in Network Switches Using Fine and Coarse-Grained Dynamic Reconfiguration Strategies Header Parsing Logic in Network Switches Using Fine and Coarse-Grained Dynamic Reconfiguration Strategies by Alexander Sonek A thesis presented to the University of Waterloo in fulfillment of the thesis

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

Software Defined Radio Equipment: What's the Best Design Approach to Reduce Power Consumption and Increase Reconfigurability?

Software Defined Radio Equipment: What's the Best Design Approach to Reduce Power Consumption and Increase Reconfigurability? Software Defined Radio Equipment: What's the Best Design Approach to Reduce Power Consumption and Increase Reconfigurability? Manel Hentati 1, 3, Amor Nafkha 2, Pierre Leray 2, Jean-François Nezan 1, Mohamed

More information

Partial and Dynamic reconfiguration of FPGAs: a top down design methodology for an automatic implementation

Partial and Dynamic reconfiguration of FPGAs: a top down design methodology for an automatic implementation Partial and Dynamic reconfiguration of FPGAs: a top down design methodology for an automatic implementation Florent Berthelot, Fabienne Nouvel, Dominique Houzet To cite this version: Florent Berthelot,

More information

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev. 1.4. Key Design Features. Block Diagram. Generic Parameters.

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev. 1.4. Key Design Features. Block Diagram. Generic Parameters. Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core 16-bit signed output samples 32-bit phase accumulator (tuning word) 32-bit phase shift feature Phase resolution of 2π/2

More information

Product Development Flow Including Model- Based Design and System-Level Functional Verification

Product Development Flow Including Model- Based Design and System-Level Functional Verification Product Development Flow Including Model- Based Design and System-Level Functional Verification 2006 The MathWorks, Inc. Ascension Vizinho-Coutry, avizinho@mathworks.fr Agenda Introduction to Model-Based-Design

More information

Clocking Wizard v5.1

Clocking Wizard v5.1 Clocking Wizard v5.1 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview About the Core.................................................................... 6 Recommended

More information

ATMEL FPGA 3rd User Group Workshop. 2010, 3rd June Christophe POURRIER

ATMEL FPGA 3rd User Group Workshop. 2010, 3rd June Christophe POURRIER ATMEL FPGA 3rd User Group Workshop 2010, 3rd June Christophe POURRIER Summary Sodern first experience with AT40K Megha-Tropiques Project PHARAO Project ATF280 Evaluation Tests performed on the first development

More information

Vivado Design Suite Tutorial

Vivado Design Suite Tutorial Vivado Design Suite Tutorial High-Level Synthesis UG871 (v2012.2) August 20, 2012 Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and

More information

ISE In-Depth Tutorial 10.1

ISE In-Depth Tutorial 10.1 ISE In-Depth Tutorial 10.1 R Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs to operate on, or interface with Xilinx

More information

PROTOTYPE DEVELOPMENT OF FPGA BASED PS/2 MOUSE CONTROLLED PCB DRILL MACHINE

PROTOTYPE DEVELOPMENT OF FPGA BASED PS/2 MOUSE CONTROLLED PCB DRILL MACHINE PROTOTYPE DEVELOPMENT OF FPGA BASED PS/2 MOUSE CONTROLLED PCB DRILL MACHINE P. K. Gaikwad 1 1 Department of Electronics, Willingdon College, Sangli, (M.S.), INDIA, pawangaikwad2003@yahoo.co.in Abstract

More information

OpenSoC Fabric: On-Chip Network Generator

OpenSoC Fabric: On-Chip Network Generator OpenSoC Fabric: On-Chip Network Generator Using Chisel to Generate a Parameterizable On-Chip Interconnect Fabric Farzad Fatollahi-Fard, David Donofrio, George Michelogiannakis, John Shalf MODSIM 2014 Presentation

More information

LatticeECP3 High-Speed I/O Interface

LatticeECP3 High-Speed I/O Interface April 2013 Introduction Technical Note TN1180 LatticeECP3 devices support high-speed I/O interfaces, including Double Data Rate (DDR) and Single Data Rate (SDR) interfaces, using the logic built into the

More information

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview Technical Note TN-29-06: NAND Flash Controller on Spartan-3 Overview Micron NAND Flash Controller via Xilinx Spartan -3 FPGA Overview As mobile product capabilities continue to expand, so does the demand

More information

VHDL GUIDELINES FOR SYNTHESIS

VHDL GUIDELINES FOR SYNTHESIS VHDL GUIDELINES FOR SYNTHESIS Claudio Talarico For internal use only 1/19 BASICS VHDL VHDL (Very high speed integrated circuit Hardware Description Language) is a hardware description language that allows

More information

IL2225 Physical Design

IL2225 Physical Design IL2225 Physical Design Nasim Farahini farahini@kth.se Outline Physical Implementation Styles ASIC physical design Flow Floor and Power planning Placement Clock Tree Synthesis Routing Timing Analysis Verification

More information

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit 1 Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENT OF FOR THE DEGREE IN Bachelor of Technology In Electronics and Communication

More information

ChipScope Pro 11.4 Software and Cores

ChipScope Pro 11.4 Software and Cores ChipScope Pro 11.4 Software and Cores User Guide Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs

More information

USB - FPGA MODULE (PRELIMINARY)

USB - FPGA MODULE (PRELIMINARY) DLP-HS-FPGA LEAD-FREE USB - FPGA MODULE (PRELIMINARY) APPLICATIONS: - Rapid Prototyping - Educational Tool - Industrial / Process Control - Data Acquisition / Processing - Embedded Processor FEATURES:

More information

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut.

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut. System-on on-chip Design Flow Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems jouni.tomberg@tut.fi 26.03.2003 Jouni Tomberg / TUT 1 SoC - How and with whom?

More information

Optimising the resource utilisation in high-speed network intrusion detection systems.

Optimising the resource utilisation in high-speed network intrusion detection systems. Optimising the resource utilisation in high-speed network intrusion detection systems. Gerald Tripp www.kent.ac.uk Network intrusion detection Network intrusion detection systems are provided to detect

More information

Engineering Change Order (ECO) Support in Programmable Logic Design

Engineering Change Order (ECO) Support in Programmable Logic Design White Paper Engineering Change Order (ECO) Support in Programmable Logic Design A major benefit of programmable logic is that it accommodates changes to the system specification late in the design cycle.

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Decomposition into Parts. Software Engineering, Lecture 4. Data and Function Cohesion. Allocation of Functions and Data. Component Interfaces

Decomposition into Parts. Software Engineering, Lecture 4. Data and Function Cohesion. Allocation of Functions and Data. Component Interfaces Software Engineering, Lecture 4 Decomposition into suitable parts Cross cutting concerns Design patterns I will also give an example scenario that you are supposed to analyse and make synthesis from The

More information

LogiCORE IP AXI Performance Monitor v2.00.a

LogiCORE IP AXI Performance Monitor v2.00.a LogiCORE IP AXI Performance Monitor v2.00.a Product Guide Table of Contents IP Facts Chapter 1: Overview Target Technology................................................................. 9 Applications......................................................................

More information

Kirchhoff Institute for Physics Heidelberg

Kirchhoff Institute for Physics Heidelberg Kirchhoff Institute for Physics Heidelberg Norbert Abel FPGA: (re-)configuration and embedded Linux 1 Linux Front-end electronics based on ADC and digital signal processing Slow control implemented as

More information

Using Xilinx Tools in Command-Line Mode

Using Xilinx Tools in Command-Line Mode X P E RT S C O R N E R he majority of designers working with Xilinx FPGAs use the primary design tools ISE Project Navigator and PlanAhead software in graphical user interface mode. The GUI approach provides

More information

Java on FPGA. 1 Contents. 2 Preface. Java on FPGA

Java on FPGA. 1 Contents. 2 Preface. Java on FPGA Java on FPGA By Morten Schultz Sørensen (morten.schultz.sorensen@teknologisk.dk) Copyright 2008 Danish Technological Institute 1 Contents 1 Contents... 1 2 Preface... 1 3 Windows set-up of required programmes...

More information

Seeking Opportunities for Hardware Acceleration in Big Data Analytics

Seeking Opportunities for Hardware Acceleration in Big Data Analytics Seeking Opportunities for Hardware Acceleration in Big Data Analytics Paul Chow High-Performance Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Toronto Who

More information

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1 VHDL Short Course Module 1 Introduction Jim Duckworth ECE Department, WPI Jim Duckworth, WPI 1 Topics Background to VHDL Introduction to language Programmable Logic Devices CPLDs and FPGAs FPGA architecture

More information

Rotary Encoder Interface for Spartan-3E Starter Kit

Rotary Encoder Interface for Spartan-3E Starter Kit Rotary Encoder Interface for Spartan-3E Starter Kit Ken Chapman Xilinx Ltd 2 th February 26 Rev.2 With thanks to Peter Alfke (Xilinx Inc.) Limitations Limited Warranty and Disclaimer. These designs are

More information

7 Series FPGA Overview

7 Series FPGA Overview 7 Series FPGA Overview 7 Series FPGA Families Maximum Capability Lowest Power and Cost Industry s Best Price/Performance Industry s Highest System Performance Logic Cells Block RAM DSP Slices Peak DSP

More information

Digital Design with VHDL

Digital Design with VHDL Digital Design with VHDL CSE 560M Lecture 5 Shakir James Shakir James 1 Plan for Today Announcement Commentary due Wednesday HW1 assigned today. Begin immediately! Questions VHDL help session Assignment

More information

Reconfigurable Computing. Reconfigurable Architectures. Chapter 3.2

Reconfigurable Computing. Reconfigurable Architectures. Chapter 3.2 Reconfigurable Architectures Chapter 3.2 Prof. Dr.-Ing. Jürgen Teich Lehrstuhl für Hardware-Software-Co-Design Coarse-Grained Reconfigurable Devices Recall: 1. Brief Historically development (Estrin Fix-Plus

More information

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Design of a High Speed Communications Link Using Field Programmable Gate Arrays Customer-Authored Application Note AC103 Design of a High Speed Communications Link Using Field Programmable Gate Arrays Amy Lovelace, Technical Staff Engineer Alcatel Network Systems Introduction A communication

More information

Design and Implementation of Vending Machine using Verilog HDL

Design and Implementation of Vending Machine using Verilog HDL 2011 2nd International Conference on Networking and Information Technology IPCSIT vol.17 (2011) (2011) IACSIT Press, Singapore Design and Implementation of Vending Machine using Verilog HDL Muhammad Ali

More information

Designing a SuperClock with an Axcelerator Device

Designing a SuperClock with an Axcelerator Device Application Note AC212 Designing a SuperClock with an Axcelerator Device Introduction Many board designs today require complex clocking schemes involving multiple frequencies and phases. Semiconductor

More information

Design Methodology for Engineering Change Orders (ECOs) in a Flat Physical Standard Cells Based Design Environment

Design Methodology for Engineering Change Orders (ECOs) in a Flat Physical Standard Cells Based Design Environment Diploma Thesis Design Methodology for Engineering Change Orders (ECOs) in a Flat Physical Standard Cells Based Design Environment submitted by Anton Klotz Standard-Cells Based Design Flow (1) Picture of

More information

White Paper FPGA Performance Benchmarking Methodology

White Paper FPGA Performance Benchmarking Methodology White Paper Introduction This paper presents a rigorous methodology for benchmarking the capabilities of an FPGA family. The goal of benchmarking is to compare the results for one FPGA family versus another

More information

Post-Configuration Access to SPI Flash Memory with Virtex-5 FPGAs Author: Daniel Cherry

Post-Configuration Access to SPI Flash Memory with Virtex-5 FPGAs Author: Daniel Cherry Application Note: Virtex-5 Family XAPP1020 (v1.0) June 01, 2009 Post-Configuration Access to SPI Flash Memory with Virtex-5 FPGAs Author: Daniel Cherry Summary Virtex -5 FPGAs support direct configuration

More information

Cisco - Configure the 1721 Router for VLANs Using a Switch Module (WIC-4ESW)

Cisco - Configure the 1721 Router for VLANs Using a Switch Module (WIC-4ESW) Page 1 of 20 Configure the 1721 Router for VLANs Using a Switch Module (WIC-4ESW) Document ID: 50036 Contents Introduction Prerequisites Requirements Components Used Network Diagram The Role of Switched

More information

PMC-XM-DIFF & EADIN/MODBUS Virtex Design

PMC-XM-DIFF & EADIN/MODBUS Virtex Design DYNAMIC ENGINEERING 150 DuBois, Suite C Santa Cruz, CA 95060 (831) 457-8891 Fax (831) 457-4793 http://www.dyneng.com sales@dyneng.com Est. 1988 User Manual PMC-XM-DIFF & EADIN/MODBUS Virtex Design Interface

More information

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler DAC 2008 Philip Watson Philip Watson Implementation Environment Program Manager ARM Ltd Background - Who Are We? Processor

More information

Introduction to System-on-Chip

Introduction to System-on-Chip Introduction to System-on-Chip COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

Online Clock Routing in Xilinx FPGAs for High-Performance and Reliability

Online Clock Routing in Xilinx FPGAs for High-Performance and Reliability Online Clock Routing in Xilinx FPGAs for High-Performance and Reliability Xabier Iturbe, Khaled Benkrid, Raul Torrego, Ali Ebrahim and Tughrul Arslan System Level Integration Group, The University of Edinburgh,

More information

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models System Development Models and Methods Dipl.-Inf. Mirko Caspar Version: 10.02.L.r-1.0-100929 Contents HW/SW Codesign Process Design Abstraction and Views Synthesis Control/Data-Flow Models System Synthesis

More information

Multiplexers Two Types + Verilog

Multiplexers Two Types + Verilog Multiplexers Two Types + Verilog ENEE 245: Digital Circuits and ystems Laboratory Lab 7 Objectives The objectives of this laboratory are the following: To become familiar with continuous ments and procedural

More information

Modeling a GPS Receiver Using SystemC

Modeling a GPS Receiver Using SystemC Modeling a GPS Receiver using SystemC Modeling a GPS Receiver Using SystemC Bernhard Niemann Reiner Büttner Martin Speitel http://www.iis.fhg.de http://www.iis.fhg.de/kursbuch/kurse/systemc.html The e

More information

Greg Stitt Assistant Professor of ECE University of Florida

Greg Stitt Assistant Professor of ECE University of Florida FPGA Virtualization Strategies for Mainstream High-level Synthesis HLS4HPC Workshop: HIPEAC 2013 Greg Stitt Assistant Professor of ECE University of Florida PhD Students: James Coole, Aaron Landy, Robert

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

International Journal of Advancements in Research & Technology, Volume 2, Issue3, March -2013 1 ISSN 2278-7763

International Journal of Advancements in Research & Technology, Volume 2, Issue3, March -2013 1 ISSN 2278-7763 International Journal of Advancements in Research & Technology, Volume 2, Issue3, March -2013 1 FPGA IMPLEMENTATION OF HARDWARE TASK MANAGEMENT STRATEGIES Assistant professor Sharan Kumar Electronics Department

More information

On the use of programmable logic in FabLabs

On the use of programmable logic in FabLabs 09.09.2013 Self introduction Electrical Engineer Self employed: Focus: Embedded Systems Motivation for being here: Providing and getting new ideas, make an offer, meeting interesting people Source: http://opensourceecology.org

More information

Xilinx/Mentor Graphics PCB Guide. UG630 (v 13.1) March 1, 2011

Xilinx/Mentor Graphics PCB Guide. UG630 (v 13.1) March 1, 2011 Xilinx/Mentor Graphics PCB Guide UG630 (v 13.1) March 1, 2011 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

ReCoSoC'11 Montpellier, France. Implementation Scenario for Teaching Partial Reconfiguration of FPGA

ReCoSoC'11 Montpellier, France. Implementation Scenario for Teaching Partial Reconfiguration of FPGA ReCoSoC'11 Montpellier, France Implementation Scenario for Teaching Partial Reconfiguration of FPGA Pierre Leray, Amor Nafkha, Christophe Moy SUPELEC/IETR 22 June 2011 SUPELEC - Campus de Rennes - France

More information

Clock Data Recovery Design Techniques for E1/T1 Based on Direct Digital Synthesis Author: Paolo Novellini and Giovanni Guasti

Clock Data Recovery Design Techniques for E1/T1 Based on Direct Digital Synthesis Author: Paolo Novellini and Giovanni Guasti Application Note: Virtex and Spartan FPGA Families XAPP868 (v1.0) January 29, 2008 Clock Data ecovery Design Techniques for E1/T1 Based on Direct Digital Synthesis Author: Paolo Novellini and Giovanni

More information

AMC13 T1 Rev 2 Preliminary Design Review. E. Hazen Boston University. 2012-10-30 E. Hazen - AMC13 T1 V2 1

AMC13 T1 Rev 2 Preliminary Design Review. E. Hazen Boston University. 2012-10-30 E. Hazen - AMC13 T1 V2 1 13 T1 Rev 2 Preliminary Design Review E. Hazen Boston University 2012-10-30 E. Hazen - 13 T1 V2 1 Scope of this Review Background: 13 T1 board is being revised to support 10 GbE per request from CDAQ group

More information

FPGA Prototyping Primer

FPGA Prototyping Primer FPGA Prototyping Primer S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com What is FPGA prototyping? FPGA prototyping is the methodology

More information

Digital Design Verification

Digital Design Verification Digital Design Verification Course Instructor: Debdeep Mukhopadhyay Dept of Computer Sc. and Engg. Indian Institute of Technology Madras, Even Semester Course No: CS 676 1 Verification??? What is meant

More information

Digital Circuit Design Using Xilinx ISE Tools

Digital Circuit Design Using Xilinx ISE Tools Digital Circuit Design Using Xilinx ISE Tools Contents 1. Introduction... 1 2. Programmable Logic Device: FPGA... 2 3. Creating a New Project... 2 4. Synthesis and Implementation of the Design... 11 5.

More information

Development System Reference Guide 10.1

Development System Reference Guide 10.1 Development System Reference Guide 10.1 R Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate

More information

A low-cost, connection aware, load-balancing solution for distributing Gigabit Ethernet traffic between two intrusion detection systems

A low-cost, connection aware, load-balancing solution for distributing Gigabit Ethernet traffic between two intrusion detection systems Iowa State University Digital Repository @ Iowa State University Graduate Theses and Dissertations Graduate College 2010 A low-cost, connection aware, load-balancing solution for distributing Gigabit Ethernet

More information

Life Cycle of a Memory Request. Ring Example: 2 requests for lock 17

Life Cycle of a Memory Request. Ring Example: 2 requests for lock 17 Life Cycle of a Memory Request (1) Use AQR or AQW to place address in AQ (2) If A[31]==0, check for hit in DCache Ring (3) Read Hit: place cache word in RQ; Write Hit: replace cache word with WQ RDDest/RDreturn

More information

Download the Design Files

Download the Design Files Design Example Using the altlvds Megafunction & the External PLL Option in Stratix II Devices March 2006, ver. 1.0 Application Note 409 Introduction The altlvds megafunction allows you to instantiate an

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

LogiCORE IP Block Memory Generator v7.3

LogiCORE IP Block Memory Generator v7.3 LogiCORE IP Block Memory Generator v7.3 Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Feature Summary..................................................................

More information