Efficient Router Architecture, Design and Performance Exploration for Many-core Hybrid Photonic Network-on-Chip (2D-PHENIC)

Size: px
Start display at page:

Download "Efficient Router Architecture, Design and Performance Exploration for Many-core Hybrid Photonic Network-on-Chip (2D-PHENIC)"

Transcription

1 Efficient Router Architecture, Design and Performance Exploration for Many-core Hybrid Photonic Network-on-Chip (2D-PHENIC) Achraf Ben Ahmed, Michael Meyer, Yuichi Okuyama, Abderazek Ben Abdallah Graduate School of Computer Science and Engineering University of Aizu, Aizu-Wakamatsu , Japan [d , d , okuyama, Abstract Nowadays, increasing emerging application complexity and improvement in process technology have enabled the design of many-core processors with tens to hundreds of cores on a single chip. Photonic Network-on-Chips (PNoCs) have recently been proposed as an alternative approach with high performance-per-watt characteristics for intra-chip communication. While providing large bandwidth through WDM (Wavelength Division Multiplexing), the main design challenge of conventional hybrid PNoC lies in the control layer, which is generally used for path set-up and also for short message communication. In this paper, we propose architecture and design of an efficient router for control and communication in heterogeneous Many-core Hybrid Photonic Network-on-Chip (2D-PHENIC) 1. In addition, we present detailed complexity and performance evaluation of the proposed architecture. Keywords-Hybrid, Optical Network-on-Chip; Many-core Systems-on-Chip; Architecture; Path Setting. I. Introduction Photonic Network-on-Chip (PNoC) [1], [2] is a novel concept enabling high bandwidth especially when combined with Wavelength Division Multiplexing (WDM) to concurrently transfer multiple parallel optical streams of data through a single waveguide. This contrasts with the Electronic Network-on-Chip (ENoC) [3] that requires a unique metal wire per bit stream. The key power saving comes from the fact that once a photonic path is established, the optical data is transmitted in an end-to-end fashion without the need for buffering, repeating, or regenerating. In fully optical architectures, a significant portion of the used spectrum is dedicated to perform the necessary arbitration and control functions instead of using it for the data transfer itself. In this fashion, the benefits of photonic properties are not fully exploited for fast data transfer. This has huge impact on lowering the bandwidth of PNoC systems and the need to optimize this bandwidth has become imperative. In order to solve the above issue, the concept of using an electronic layer to set the required path and an optical layer to establish a high throughput end-to-end communication was proposed. 1 PHENIC project is supported by University of Aizu Competitive Research Funding, Ref. P Despite the huge bandwidth that we can get from the hybrid architecture, the Electronic Control Network (ECN) is considered as the main source of latency and power consumption, as discussed in the next section. This overhead might be caused by the use of an inappropriate message size, a non optimized physical channel width, or especially the used path setup protocol which is a source of both power and latency overhead. We previously proposed PHENIC [1] system, which is a hybrid PNoC architecture. We showed preliminary evaluation results without taking into consideration the previously mentioned problems. In PHENIC, the electronic router was based on our previous 2D-OASIS router [3]. In this work, we present an efficient router architecture and design to be used in 2D-PHENIC, which aims to reduce the area and power overhead caused in the ECN. We also propose a complete architecture of 2D-PHENIC system, for both Electronic Control Network (ECN) and Photonic Communication Network (PCN). To find out the optimal configuration of the proposed router, we first conduct a performance exploration based on the message size variation to see its impact on the overall performance. Second, we define the optimal packet size that should be carried in the ECN and we define a selection policy to choose if the message/packet is transmitted electronically or optically. Finally, we show the design and synthesis results of the electronic router using Cadence and Synopsis CAD tools. II. 2D-PHENIC System Architecture The system consists of two types of networks. The upper one is the Photonic Communication Network (PCN) and is based on silicon broadband photonic switches interconnected by waveguides. The bottom layer is called Electronic Control Network (ECN) and is used for path reservation and configuration of the optical switches at the PCN layer by mainly powering ON/OFF the microrings resonators (MRs). Each IP core is connected to a local electrical router and also connected to the corresponding gateway (modulator/detector) in PCN. Complete details of the involved components in 2D- PHENIC system architecture are given in [1].

2 Figure 1: 2D-PHENIC s Router Architecture. A. Electronic Control Network (ECN) The ECN is based on Mesh topology. The packets are forwarded among the network using Wormhole-like switching policy and then routed according to Dimension-Ordered- Routing (DOR-XY). As a flow control, ECN adopts Stall-Go mechanism and Matrix-Arbiter as a scheduling technique. The ECN s packet format. does not contain a payload since all data will be transmitted in optical manner. Thus, all fields are for control purposes and all packets generated in the ECN are Path Setup Control Packet (PSCP). We set the maximum size of the optical message to 16Kb according to the work in [8]. The router is considered as the back-bone element in the whole ECN design. The ECN s router architecture is based upon OASIS NoC router (ONoC Router) [3], [9] with the addition of the optical switches arbitration module. Figure 1 illustrates ECN s router architecture. The routing process at each router can be defined by three main pipeline stages: Buffer writing (BW), Routing Calculation and Switch Allocation (RC/SA), and finally the Crossbar Traversal stage (CT). B. Photonic Communication Network (PCN) The PCN is based on a broadband photonic switches connected in mesh topology. 2D-PHENIC s optical switch is based on multiple 1 2 and 2 2 optical switching elements. These switching elements are based on micro-ring resonators. The functionality of the whole switch depends on the state of these micro-ring resonators, which are set by electrical signals coming from the ECN layer according to the routing requirements of each packet. In fact, when the next-port is granted and the PSCP can be forwarded to the next node, the arbiter module sets the required micro-ring resonators in parallel according to a state table where all micro-ring resonators states are stored (0 for OFF and 1 for ON). Thus, the corresponding photonic switch resources are also reserved (input and output port). When the PSCP reaches the destination, an ACK signal is issued from the destination to the source informing it to start the data transmission. After the data transmission is done, a tear-down signal is generated, where at each hop the arbiter will release the previously reserved resources in both electronic router and photonic switch. For the time being, we are using a 5x5 photonic bloking switch [10], based on a 4x4 non-blocking one presented by [11]. Figure 2 shows the block diagram of the used 5x5 photonic switch. Up to now, the 2D- PHENIC s ENC layer supports only PSCP packet and all data are transmitted optically regardless of the message size. In the next section, we present a performance exploration of 2D-PHENIC and we focus on the message size impact to define the optimal size to be used in the ECN and PCN. Figure 2: 5x5 Photonic switch block diagram. III. Performance Exploration of 2D-PHENIC In a typical computer system communication, we can find a wide range of message sizes. This range

3 starts from the small messages, which are usually control messages for memory, cache coherence protocols or barriers synchronization. From few bytes, for a control message (such as a memory read requests or cache coherency snoop messages) to a few kilobytes for a data packet such as the exchange of memory pages or long cache lines. In conventional ENoC, all messages are transported electronically by dividing the message into small flits according to the channel width. However, in 2D-PHENIC we have to choose whether to use the electronic layer or the photonic layer to transmit the data. Before we discuss the selection policy that we will adopt (if the message is transmitted optically or electronically), let us first evaluate the performance of a pure Electronic NoC (ENoC) [3] and 2D-PHENIC for different message sizes. Figures 3 and 4, respectively, show the average latency and the average bandwidth before the network saturation for 256 cores under random traffic for different message sizes (from 32 bytes to 16 kilobytes). As can be seen in Fig. 3 (a) up to a message size of 512 bytes ENoC outperforms the hybrid one. Starting from 1kb the latency for the ENoC keeps increasing linearly with the message size reaching 13 µs for 16Kb message size, while for 2D-PHENIC the latency stagnates between 1 to 1.5 µs for all message sizes. Figure 4: Achieved bandwidth before the saturation under random traffic for 256 cores and different message sizes. Figure 3 (b) shows the latency breakdown before the network saturation for 256 cores under random traffic for different message sizes starting from one kilobyte to 16 kilobytes. As can be seen, the setup latency dominates the overall latency. This is explained by the fact that once the path is set in the electronic layer the optical transmission is independent from the distance and the data stream transported. Thus, there is a need to optimize the latency in the Electronic Control Network (ECN) and to minimize the overhead of the path setup process as much as possible to increase the overall performance. Figure 4 shows that for less than 1Kb message, the bandwidth is basically the same for both ENoC and 2D- PHENIC with a slight advantage for ENoC. From 1Kb to 16Kb message size, the bandwidth of ENoC increases only by 17%, while it increases by 500% for 2D-PHENIC for the same range. The last part of the evaluation in this section will be dedicated to the power consumption in 2D-PHENIC. Figure 5 (a) shows the power consumption evaluation for 2D-PHENIC and ENoC for different network sizes and different synthetic benchmarks. The three benchmarks are Random, Bitreverse and Neighbor. Random is a communication pattern where the destinations are randomly picked uniformly each time a new communication occurs. Bitreverse is a communication pattern where each node takes the ones complement of its ID, resulting in very long communications. Neighbor traffic pattern is where communication is limited to only one hop. In addition to be more power-hungry than the 2D-PHENIC, the ENoC is sensitive to the communication distance. In fact, as the network size increases, the difference between the power consumption resulting from the three different communication patterns increases. We can see that for 16x16 network size, the highest power consumption is for the Bitreverse. On the other hand, 2D-PHENIC is insensitive to the traffic pattern, where for the three network sizes the performances of the three traffic patterns are the same. For example, for 16x16 network size the Bitreverse traffic pattern can generate very long communications but it has the same power consumption as Neighbor traffic pattern which generates only one hop distance. Despite this good feature that comes with 2D- PHENIC, the power consumption is still increasing with the network size. This increase can be explained in Fig. 5 (b) which shows the power consumption breakdown before the saturation under random traffic for 256 cores and different message sizes. As can be seen, most of the consumed power is taken place in the ECN and the portion of the power generated by the PCN is only 7% for 1Kb message size and around 22% for 16Kb message size. Unlike optical transmission, the power consumption generated by an electronic transmission depends on the bit stream and the distance generated by the traffic pattern. Although the ECN just carries a small path setup packet, it is still one of the main drawbacks of the 2D-PHENIC system and it needs to be optimized further to reduce the latency and the power consumption. In the next section, we present the design details and evaluation results of our proposed electronic router for 2D- PHENIC and the selection policy that we will adopt.

4 (a) Figure 3: Average latency before the saturation under random traffic for 256 cores and different message sizes, (a) total average latency, (b) latency breakdown. (b) (a) Figure 5: Power consumption before the saturation for : (a) different network sizes and different benchmarks (b) random traffic for 256 cores and different message sizes. (b) A. Selection policy IV. Electronic Router for 2D-PHENIC According to the results shown in the previous section and the behavior of real CMP systems under realistic applications, we add a second type of small packets to be carried in the ECN in addition to the PSCP. We named this packet, Small Control Packet (SCP) with 74 bits length. We set the payload to 64 bits in addition to the source and destination addresses, and the tail. We choose 64 bits as payload according to the payload of the control packet in MOESI protocol. For the optical transmission, it is set from 1 Kb to 16 Kb. We set the maximum message size to 16Kb according to the work in [8]. B. Evaluation results We propose the design and evaluation results of an electronic control router to be used for 2D-PHENIC. Since all packets carried on the ECN are still relatively small, we set the channel width (i.e, flit size) equal to the largest packet, which is the SPC. We aim to not divide any of the packets carried in the ECN. We evaluate the 2D-PHENIC s router power consumption and area before and after the packet size and channel width optimizations. Table I illustrates the hardware complexity results of 2D- PHENIC s router in terms of area and total power when compared to the baseline router. Decreasing the channel width from 128 bits to 74 bits will lead to a decrease by 48% in the power consumption and 56% in the area. This can be explained by the fact that decreasing the channel width also reduces buffer utilization at each input-port as they are the most area consuming components in an ENoC system. The area overhead has a direct impact on the power consumption explaining the power reduction obtained when reducing the channel width.

5 Table I: 2D-PHENIC s router hardware complexity evaluation results before and after optimization. System / Parameter Area Total Power µm µw Baseline (Before optimization) Proposed (After optimization) Figure 6 shows the layout of 2D-PHENIC s electronic router obtained by Cadence SoC-Encounter at 45nm CMOS process technology [12]. Tokyo Japan, in collaboration with Synopsys, Inc. and Cadence Design Systems, Inc.. References [1] A. Ben Ahmed, A. Ben Abdallah, PHENIC: Towards Photonic 3D-Network-on-Chip Architecture for Highthroughput Many-core Systems-on-Chip, IEEE Proceedings of the 14th International conference on Sciences and Techniques of Automatic control and computer engineering, pp. 1-9, Dec [2] Y. Ye et al., 3-D Mesh-Based Optical Network-on-Chip for Multiprocessor System-on-Chip, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.32, no.4, pp , April [3] K. Mori, A. Esch, A. Ben Abdallah, K. Kuroda, Advanced Design Issues for OASIS Network-on-Chip Architecture, IEEE Proc. of the 5th BWCCA-2010, Nov. 2010, pp [4] Thomas Moscibroda, Onur Mutlu, A case for bufferless routing in on-chip networks, Proceedings of the 36th annual international symposium on Computer architecture, June 20-24, 2009, Austin, TX, USA Figure 6: PHENIC s electronic router layout. V. Conclusion and Future Work In this work, we proposed an efficient router architecture and design targeted for our 2D-PHENIC Hybrid Photonic Network-on-Chip. We provided information about the complete 2D-PHENIC system architecture including both Electronic Control Network (ECN) and Photonic Communication Network (PCN). We also conducted a performance exploration by studying the impact of the message size on the performance of the proposed router to find out its optimal configuration. The study shows that for less than 1Kb message size the pure electronic NoC outperforms 2D- PHENIC system. In addition, we observed that most of the consumed power (between 78% and 95%) is taken place in the electronic network. According to these results, we presented the synthesis results of the proposed electronic router which supports both path-setup and small control packets. The results show that the proposed router can reduce the power by 48% and the area by 56%. In our future work, we intend to focus on the path setup protocol to minimize its latency overhead which is the main source of latency in hybrid PNoC architectures. Acknowledgment This project is partially supported by UoA Competitive Research Funding, It is also supported by VLSI Design and Education Center (VDEC), the University of [5] A. Kahng, B. Li, L.-S. Peh, and K. Samadi, ORION 2.0: A power-area simulator for interconnection networks, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 20, no. 1, pp , jan [6] M. Hayenga, N. E. Jerger, and M. Lipasti, SCARAB: a single cycle adaptive routing and bufferless network, in Proceedings of the 42nd International Symposium on Microarchitecture, [7] C. Fallin, X. Yu, G. Nazario, and O. Mutlu, A highperformance hierarchical ring on-chip interconnect with low-cost routers, Computer Architecture Lab (CALCM), Carnegie Mellon University, Tech. Rep., [8] A.Shacham, K.Bergman, L.Carloni, Photonic Networkson-Chip for Future Generations of Chip Multiprocessors, IEEE Transactions on Computers, vo1.57, n.9, pp , Sep [9] A. Ben Ahmed and A. Ben Abdallah, Architecture and Design of High-throughput, Low-latency, and Fault-Tolerant Routing Algorithm for 3D-Network-on-Chip (3D-NoC), The Journal of Supercomputing, Vol. 66-3, pp , Dec [10] J. Chan, G.Hendry, A. Biberman, K. Bergman, L. Carloni, PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks, DATE 2010,paper [11] H. Wang, B. G. Lee, A. Shacham, K. Bergman, On the Design of a 4x4 Nonblocking Nanophotonic Switch for Photonic Networks on Chip, Frontiers in Nanophotonics and Plasmonics, Guaruja, SP Brazil (Nov 2007). [12] Nangate 45nm open cell library,

Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip

Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip Ms Lavanya Thunuguntla 1, Saritha Sapa 2 1 Associate Professor, Department of ECE, HITAM, Telangana

More information

Asynchronous Bypass Channels

Asynchronous Bypass Channels Asynchronous Bypass Channels Improving Performance for Multi-Synchronous NoCs T. Jain, P. Gratz, A. Sprintson, G. Choi, Department of Electrical and Computer Engineering, Texas A&M University, USA Table

More information

Optimizing Configuration and Application Mapping for MPSoC Architectures

Optimizing Configuration and Application Mapping for MPSoC Architectures Optimizing Configuration and Application Mapping for MPSoC Architectures École Polytechnique de Montréal, Canada Email : Sebastien.Le-Beux@polymtl.ca 1 Multi-Processor Systems on Chip (MPSoC) Design Trends

More information

Photonic components for signal routing in optical networks on chip

Photonic components for signal routing in optical networks on chip 15 th International Conference on Transparent Optical Networks Cartagena, Spain, June 23-27, 213 Photonic components for signal routing in optical networks on chip Vincenzo Petruzzelli, Giovanna Calò Dipartimento

More information

Hyper Node Torus: A New Interconnection Network for High Speed Packet Processors

Hyper Node Torus: A New Interconnection Network for High Speed Packet Processors 2011 International Symposium on Computer Networks and Distributed Systems (CNDS), February 23-24, 2011 Hyper Node Torus: A New Interconnection Network for High Speed Packet Processors Atefeh Khosravi,

More information

Lecture 18: Interconnection Networks. CMU 15-418: Parallel Computer Architecture and Programming (Spring 2012)

Lecture 18: Interconnection Networks. CMU 15-418: Parallel Computer Architecture and Programming (Spring 2012) Lecture 18: Interconnection Networks CMU 15-418: Parallel Computer Architecture and Programming (Spring 2012) Announcements Project deadlines: - Mon, April 2: project proposal: 1-2 page writeup - Fri,

More information

A Dynamic Link Allocation Router

A Dynamic Link Allocation Router A Dynamic Link Allocation Router Wei Song and Doug Edwards School of Computer Science, the University of Manchester Oxford Road, Manchester M13 9PL, UK {songw, doug}@cs.man.ac.uk Abstract The connection

More information

3D On-chip Data Center Networks Using Circuit Switches and Packet Switches

3D On-chip Data Center Networks Using Circuit Switches and Packet Switches 3D On-chip Data Center Networks Using Circuit Switches and Packet Switches Takahide Ikeda Yuichi Ohsita, and Masayuki Murata Graduate School of Information Science and Technology, Osaka University Osaka,

More information

Efficient Built-In NoC Support for Gather Operations in Invalidation-Based Coherence Protocols

Efficient Built-In NoC Support for Gather Operations in Invalidation-Based Coherence Protocols Universitat Politècnica de València Master Thesis Efficient Built-In NoC Support for Gather Operations in Invalidation-Based Coherence Protocols Author: Mario Lodde Advisor: Prof. José Flich Cardo A thesis

More information

TRACKER: A Low Overhead Adaptive NoC Router with Load Balancing Selection Strategy

TRACKER: A Low Overhead Adaptive NoC Router with Load Balancing Selection Strategy TRACKER: A Low Overhead Adaptive NoC Router with Load Balancing Selection Strategy John Jose, K.V. Mahathi, J. Shiva Shankar and Madhu Mutyam PACE Laboratory, Department of Computer Science and Engineering

More information

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng Architectural Level Power Consumption of Network Presenter: YUAN Zheng Why Architectural Low Power Design? High-speed and large volume communication among different parts on a chip Problem: Power consumption

More information

Photonic Networks for Data Centres and High Performance Computing

Photonic Networks for Data Centres and High Performance Computing Photonic Networks for Data Centres and High Performance Computing Philip Watts Department of Electronic Engineering, UCL Yury Audzevich, Nick Barrow-Williams, Robert Mullins, Simon Moore, Andrew Moore

More information

Low-Overhead Hard Real-time Aware Interconnect Network Router

Low-Overhead Hard Real-time Aware Interconnect Network Router Low-Overhead Hard Real-time Aware Interconnect Network Router Michel A. Kinsy! Department of Computer and Information Science University of Oregon Srinivas Devadas! Department of Electrical Engineering

More information

Performance Evaluation of 2D-Mesh, Ring, and Crossbar Interconnects for Chip Multi- Processors. NoCArc 09

Performance Evaluation of 2D-Mesh, Ring, and Crossbar Interconnects for Chip Multi- Processors. NoCArc 09 Performance Evaluation of 2D-Mesh, Ring, and Crossbar Interconnects for Chip Multi- Processors NoCArc 09 Jesús Camacho Villanueva, José Flich, José Duato Universidad Politécnica de Valencia December 12,

More information

Data Center Network Structure using Hybrid Optoelectronic Routers

Data Center Network Structure using Hybrid Optoelectronic Routers Data Center Network Structure using Hybrid Optoelectronic Routers Yuichi Ohsita, and Masayuki Murata Graduate School of Information Science and Technology, Osaka University Osaka, Japan {y-ohsita, murata}@ist.osaka-u.ac.jp

More information

Introduction to Exploration and Optimization of Multiprocessor Embedded Architectures based on Networks On-Chip

Introduction to Exploration and Optimization of Multiprocessor Embedded Architectures based on Networks On-Chip Introduction to Exploration and Optimization of Multiprocessor Embedded Architectures based on Networks On-Chip Cristina SILVANO silvano@elet.polimi.it Politecnico di Milano, Milano (Italy) Talk Outline

More information

A CDMA Based Scalable Hierarchical Architecture for Network- On-Chip

A CDMA Based Scalable Hierarchical Architecture for Network- On-Chip www.ijcsi.org 241 A CDMA Based Scalable Hierarchical Architecture for Network- On-Chip Ahmed A. El Badry 1 and Mohamed A. Abd El Ghany 2 1 Communications Engineering Dept., German University in Cairo,

More information

Interconnection Networks. Interconnection Networks. Interconnection networks are used everywhere!

Interconnection Networks. Interconnection Networks. Interconnection networks are used everywhere! Interconnection Networks Interconnection Networks Interconnection networks are used everywhere! Supercomputers connecting the processors Routers connecting the ports can consider a router as a parallel

More information

Hardware Implementation of Improved Adaptive NoC Router with Flit Flow History based Load Balancing Selection Strategy

Hardware Implementation of Improved Adaptive NoC Router with Flit Flow History based Load Balancing Selection Strategy Hardware Implementation of Improved Adaptive NoC Rer with Flit Flow History based Load Balancing Selection Strategy Parag Parandkar 1, Sumant Katiyal 2, Geetesh Kwatra 3 1,3 Research Scholar, School of

More information

Optical interconnection networks with time slot routing

Optical interconnection networks with time slot routing Theoretical and Applied Informatics ISSN 896 5 Vol. x 00x, no. x pp. x x Optical interconnection networks with time slot routing IRENEUSZ SZCZEŚNIAK AND ROMAN WYRZYKOWSKI a a Institute of Computer and

More information

SDH and WDM: a look at the physical layer

SDH and WDM: a look at the physical layer SDH and WDM: a look at the physical SDH and WDM A look at the physical Andrea Bianco Telecommunication Network Group firstname.lastname@polito.it http://www.telematica.polito.it/ Network management and

More information

MULTISTAGE INTERCONNECTION NETWORKS: A TRANSITION TO OPTICAL

MULTISTAGE INTERCONNECTION NETWORKS: A TRANSITION TO OPTICAL MULTISTAGE INTERCONNECTION NETWORKS: A TRANSITION TO OPTICAL Sandeep Kumar 1, Arpit Kumar 2 1 Sekhawati Engg. College, Dundlod, Dist. - Jhunjhunu (Raj.), 1987san@gmail.com, 2 KIIT, Gurgaon (HR.), Abstract

More information

COMMUNICATION PERFORMANCE EVALUATION AND ANALYSIS OF A MESH SYSTEM AREA NETWORK FOR HIGH PERFORMANCE COMPUTERS

COMMUNICATION PERFORMANCE EVALUATION AND ANALYSIS OF A MESH SYSTEM AREA NETWORK FOR HIGH PERFORMANCE COMPUTERS COMMUNICATION PERFORMANCE EVALUATION AND ANALYSIS OF A MESH SYSTEM AREA NETWORK FOR HIGH PERFORMANCE COMPUTERS PLAMENKA BOROVSKA, OGNIAN NAKOV, DESISLAVA IVANOVA, KAMEN IVANOV, GEORGI GEORGIEV Computer

More information

SDH and WDM A look at the physical layer

SDH and WDM A look at the physical layer SDH and WDM A look at the physical Andrea Bianco Telecommunication Network Group firstname.lastname@polito.it http://www.telematica.polito.it/ Network management and QoS provisioning - 1 Copyright This

More information

930 JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 32, NO. 5, MARCH 1, 2014

930 JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 32, NO. 5, MARCH 1, 2014 930 JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 32, NO. 5, MARCH 1, 2014 A Hierarchical Optical Network-On-Chip Using Central-Controlled Subnet and Wavelength Assignment Zheng Chen, Huaxi Gu, Yintang Yang, and

More information

Switched Interconnect for System-on-a-Chip Designs

Switched Interconnect for System-on-a-Chip Designs witched Interconnect for ystem-on-a-chip Designs Abstract Daniel iklund and Dake Liu Dept. of Physics and Measurement Technology Linköping University -581 83 Linköping {danwi,dake}@ifm.liu.se ith the increased

More information

Design and Implementation of an On-Chip Permutation Network for Multiprocessor System-On-Chip

Design and Implementation of an On-Chip Permutation Network for Multiprocessor System-On-Chip Design and Implementation of an On-Chip Permutation Network for Multiprocessor System-On-Chip Manjunath E 1, Dhana Selvi D 2 M.Tech Student [DE], Dept. of ECE, CMRIT, AECS Layout, Bangalore, Karnataka,

More information

High-Performance IP Service Node with Layer 4 to 7 Packet Processing Features

High-Performance IP Service Node with Layer 4 to 7 Packet Processing Features UDC 621.395.31:681.3 High-Performance IP Service Node with Layer 4 to 7 Packet Processing Features VTsuneo Katsuyama VAkira Hakata VMasafumi Katoh VAkira Takeyama (Manuscript received February 27, 2001)

More information

A Fast Path Recovery Mechanism for MPLS Networks

A Fast Path Recovery Mechanism for MPLS Networks A Fast Path Recovery Mechanism for MPLS Networks Jenhui Chen, Chung-Ching Chiou, and Shih-Lin Wu Department of Computer Science and Information Engineering Chang Gung University, Taoyuan, Taiwan, R.O.C.

More information

Design and Verification of Nine port Network Router

Design and Verification of Nine port Network Router Design and Verification of Nine port Network Router G. Sri Lakshmi 1, A Ganga Mani 2 1 Assistant Professor, Department of Electronics and Communication Engineering, Pragathi Engineering College, Andhra

More information

PHOTONIC NOCS: SYSTEM-LEVEL DESIGN EXPLORATION...

PHOTONIC NOCS: SYSTEM-LEVEL DESIGN EXPLORATION... ... PHOTONIC NOCS: SYSTEM-LEVEL DESIGN EXPLORATION... NETWORK-ON-CHIP IS A KEY ENABLING TECHNOLOGY TO ADDRESS THE CHALLENGES OF INTERCONNECTING THE INCREASING NUMBER OF CORES IN EMERGING CHIP MULTIPROCESSORS.

More information

From Bus and Crossbar to Network-On-Chip. Arteris S.A.

From Bus and Crossbar to Network-On-Chip. Arteris S.A. From Bus and Crossbar to Network-On-Chip Arteris S.A. Copyright 2009 Arteris S.A. All rights reserved. Contact information Corporate Headquarters Arteris, Inc. 1741 Technology Drive, Suite 250 San Jose,

More information

Recursive Partitioning Multicast: A Bandwidth-Efficient Routing for Networks-On-Chip

Recursive Partitioning Multicast: A Bandwidth-Efficient Routing for Networks-On-Chip Recursive Partitioning Multicast: A Bandwidth-Efficient Routing for Networks-On-Chip Lei Wang, Yuho Jin, Hyungjun Kim and Eun Jung Kim Department of Computer Science and Engineering Texas A&M University

More information

vci_anoc_network Specifications & implementation for the SoClib platform

vci_anoc_network Specifications & implementation for the SoClib platform Laboratoire d électronique de technologie de l information DC roject oclib vci_anoc_network pecifications & implementation for the oclib platform ditor :. MR ANAD Version. : // articipants aux travaux

More information

Leveraging Torus Topology with Deadlock Recovery for Cost-Efficient On-Chip Network

Leveraging Torus Topology with Deadlock Recovery for Cost-Efficient On-Chip Network Leveraging Torus Topology with Deadlock ecovery for Cost-Efficient On-Chip Network Minjeong Shin, John Kim Department of Computer Science KAIST Daejeon, Korea {shinmj, jjk}@kaist.ac.kr Abstract On-chip

More information

Lecture 23: Interconnection Networks. Topics: communication latency, centralized and decentralized switches (Appendix E)

Lecture 23: Interconnection Networks. Topics: communication latency, centralized and decentralized switches (Appendix E) Lecture 23: Interconnection Networks Topics: communication latency, centralized and decentralized switches (Appendix E) 1 Topologies Internet topologies are not very regular they grew incrementally Supercomputers

More information

Packetization and routing analysis of on-chip multiprocessor networks

Packetization and routing analysis of on-chip multiprocessor networks Journal of Systems Architecture 50 (2004) 81 104 www.elsevier.com/locate/sysarc Packetization and routing analysis of on-chip multiprocessor networks Terry Tao Ye a, *, Luca Benini b, Giovanni De Micheli

More information

Architecture of distributed network processors: specifics of application in information security systems

Architecture of distributed network processors: specifics of application in information security systems Architecture of distributed network processors: specifics of application in information security systems V.Zaborovsky, Politechnical University, Sait-Petersburg, Russia vlad@neva.ru 1. Introduction Modern

More information

CROSS LAYER BASED MULTIPATH ROUTING FOR LOAD BALANCING

CROSS LAYER BASED MULTIPATH ROUTING FOR LOAD BALANCING CHAPTER 6 CROSS LAYER BASED MULTIPATH ROUTING FOR LOAD BALANCING 6.1 INTRODUCTION The technical challenges in WMNs are load balancing, optimal routing, fairness, network auto-configuration and mobility

More information

System Interconnect Architectures. Goals and Analysis. Network Properties and Routing. Terminology - 2. Terminology - 1

System Interconnect Architectures. Goals and Analysis. Network Properties and Routing. Terminology - 2. Terminology - 1 System Interconnect Architectures CSCI 8150 Advanced Computer Architecture Hwang, Chapter 2 Program and Network Properties 2.4 System Interconnect Architectures Direct networks for static connections Indirect

More information

Scalability and Classifications

Scalability and Classifications Scalability and Classifications 1 Types of Parallel Computers MIMD and SIMD classifications shared and distributed memory multicomputers distributed shared memory computers 2 Network Topologies static

More information

PART III. OPS-based wide area networks

PART III. OPS-based wide area networks PART III OPS-based wide area networks Chapter 7 Introduction to the OPS-based wide area network 7.1 State-of-the-art In this thesis, we consider the general switch architecture with full connectivity

More information

CONTINUOUS scaling of CMOS technology makes it possible

CONTINUOUS scaling of CMOS technology makes it possible IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 7, JULY 2006 693 It s a Small World After All : NoC Performance Optimization Via Long-Range Link Insertion Umit Y. Ogras,

More information

Why the Network Matters

Why the Network Matters Week 2, Lecture 2 Copyright 2009 by W. Feng. Based on material from Matthew Sottile. So Far Overview of Multicore Systems Why Memory Matters Memory Architectures Emerging Chip Multiprocessors (CMP) Increasing

More information

Performance Evaluation of AODV, OLSR Routing Protocol in VOIP Over Ad Hoc

Performance Evaluation of AODV, OLSR Routing Protocol in VOIP Over Ad Hoc (International Journal of Computer Science & Management Studies) Vol. 17, Issue 01 Performance Evaluation of AODV, OLSR Routing Protocol in VOIP Over Ad Hoc Dr. Khalid Hamid Bilal Khartoum, Sudan dr.khalidbilal@hotmail.com

More information

Router Architectures

Router Architectures Router Architectures An overview of router architectures. Introduction What is a Packet Switch? Basic Architectural Components Some Example Packet Switches The Evolution of IP Routers 2 1 Router Components

More information

8 Gbps CMOS interface for parallel fiber-optic interconnects

8 Gbps CMOS interface for parallel fiber-optic interconnects 8 Gbps CMOS interface for parallel fiberoptic interconnects Barton Sano, Bindu Madhavan and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California

More information

Introduction, Rate and Latency

Introduction, Rate and Latency Introduction, Rate and Latency Communication Networks Why communicate? Necessary to support some application. Example Applications Audio communication Radio, Telephone Text communication Email, SMS (text

More information

Computer Networks. Definition of LAN. Connection of Network. Key Points of LAN. Lecture 06 Connecting Networks

Computer Networks. Definition of LAN. Connection of Network. Key Points of LAN. Lecture 06 Connecting Networks Computer Networks Lecture 06 Connecting Networks Kuang-hua Chen Department of Library and Information Science National Taiwan University Local Area Networks (LAN) 5 kilometer IEEE 802.3 Ethernet IEEE 802.4

More information

Scaling 10Gb/s Clustering at Wire-Speed

Scaling 10Gb/s Clustering at Wire-Speed Scaling 10Gb/s Clustering at Wire-Speed InfiniBand offers cost-effective wire-speed scaling with deterministic performance Mellanox Technologies Inc. 2900 Stender Way, Santa Clara, CA 95054 Tel: 408-970-3400

More information

On-Chip Interconnection Networks Low-Power Interconnect

On-Chip Interconnection Networks Low-Power Interconnect On-Chip Interconnection Networks Low-Power Interconnect William J. Dally Computer Systems Laboratory Stanford University ISLPED August 27, 2007 ISLPED: 1 Aug 27, 2007 Outline Demand for On-Chip Networks

More information

Use-it or Lose-it: Wearout and Lifetime in Future Chip-Multiprocessors

Use-it or Lose-it: Wearout and Lifetime in Future Chip-Multiprocessors Use-it or Lose-it: Wearout and Lifetime in Future Chip-Multiprocessors Hyungjun Kim, 1 Arseniy Vitkovsky, 2 Paul V. Gratz, 1 Vassos Soteriou 2 1 Department of Electrical and Computer Engineering, Texas

More information

Communication Networks. MAP-TELE 2011/12 José Ruela

Communication Networks. MAP-TELE 2011/12 José Ruela Communication Networks MAP-TELE 2011/12 José Ruela Network basic mechanisms Introduction to Communications Networks Communications networks Communications networks are used to transport information (data)

More information

It explains the differences between the Plesiochronous Digital Hierarchy and the Synchronous Digital Hierarchy.

It explains the differences between the Plesiochronous Digital Hierarchy and the Synchronous Digital Hierarchy. TECHNICAL TUTORIAL Subject: SDH Date: October, 00 Prepared by: John Rumsey SDH Synchronous Digital Hierarchy. Introduction. The Plesiochronous Digital Hierarchy (PDH). The Synchronous Digital Hierarchy

More information

A Hybrid Electrical and Optical Networking Topology of Data Center for Big Data Network

A Hybrid Electrical and Optical Networking Topology of Data Center for Big Data Network ASEE 2014 Zone I Conference, April 3-5, 2014, University of Bridgeport, Bridgpeort, CT, USA A Hybrid Electrical and Optical Networking Topology of Data Center for Big Data Network Mohammad Naimur Rahman

More information

Computer Network. Interconnected collection of autonomous computers that are able to exchange information

Computer Network. Interconnected collection of autonomous computers that are able to exchange information Introduction Computer Network. Interconnected collection of autonomous computers that are able to exchange information No master/slave relationship between the computers in the network Data Communications.

More information

Distributed Elastic Switch Architecture for efficient Networks-on-FPGAs

Distributed Elastic Switch Architecture for efficient Networks-on-FPGAs Distributed Elastic Switch Architecture for efficient Networks-on-FPGAs Antoni Roca, Jose Flich Parallel Architectures Group Universitat Politechnica de Valencia (UPV) Valencia, Spain Giorgos Dimitrakopoulos

More information

DESIGN AND VERIFICATION OF LSR OF THE MPLS NETWORK USING VHDL

DESIGN AND VERIFICATION OF LSR OF THE MPLS NETWORK USING VHDL IJVD: 3(1), 2012, pp. 15-20 DESIGN AND VERIFICATION OF LSR OF THE MPLS NETWORK USING VHDL Suvarna A. Jadhav 1 and U.L. Bombale 2 1,2 Department of Technology Shivaji university, Kolhapur, 1 E-mail: suvarna_jadhav@rediffmail.com

More information

Module 5. Broadcast Communication Networks. Version 2 CSE IIT, Kharagpur

Module 5. Broadcast Communication Networks. Version 2 CSE IIT, Kharagpur Module 5 Broadcast Communication Networks Lesson 1 Network Topology Specific Instructional Objectives At the end of this lesson, the students will be able to: Specify what is meant by network topology

More information

ECE 358: Computer Networks. Solutions to Homework #4. Chapter 4 - The Network Layer

ECE 358: Computer Networks. Solutions to Homework #4. Chapter 4 - The Network Layer ECE 358: Computer Networks Solutions to Homework #4 Chapter 4 - The Network Layer P 4. Consider the network below. a. Suppose that this network is a datagram network. Show the forwarding table in router

More information

COMMUNICATION NETWORKS WITH LAYERED ARCHITECTURES. Gene Robinson E.A.Robinsson Consulting 972 529-6395 ROB1200@aol.com

COMMUNICATION NETWORKS WITH LAYERED ARCHITECTURES. Gene Robinson E.A.Robinsson Consulting 972 529-6395 ROB1200@aol.com COMMUNICATION NETWORKS WITH LAYERED ARCHITECTURES Gene Robinson E.A.Robinsson Consulting 972 529-6395 ROB1200@aol.com 9 March 1999 IEEE802 N-WEST STANDARDS MEETING FOR BROADBAND WIRELESS ACCESS SYSTEMS

More information

DESIGN AND DEVELOPMENT OF LOAD SHARING MULTIPATH ROUTING PROTCOL FOR MOBILE AD HOC NETWORKS

DESIGN AND DEVELOPMENT OF LOAD SHARING MULTIPATH ROUTING PROTCOL FOR MOBILE AD HOC NETWORKS DESIGN AND DEVELOPMENT OF LOAD SHARING MULTIPATH ROUTING PROTCOL FOR MOBILE AD HOC NETWORKS K.V. Narayanaswamy 1, C.H. Subbarao 2 1 Professor, Head Division of TLL, MSRUAS, Bangalore, INDIA, 2 Associate

More information

Dynamic Congestion-Based Load Balanced Routing in Optical Burst-Switched Networks

Dynamic Congestion-Based Load Balanced Routing in Optical Burst-Switched Networks Dynamic Congestion-Based Load Balanced Routing in Optical Burst-Switched Networks Guru P.V. Thodime, Vinod M. Vokkarane, and Jason P. Jue The University of Texas at Dallas, Richardson, TX 75083-0688 vgt015000,

More information

IPv6 Broadband Access Network Systems

IPv6 Broadband Access Network Systems IPv6 Broadband Access Network Systems IPv6 Broadband Access Network Systems 60 Junji Yamada Koji Wakayama Eisuke Sato OVERVIEW: To meet the demand for broadband access and enable a smooth transition from

More information

Silicon Photonic Interconnection Networks

Silicon Photonic Interconnection Networks Silicon Photonic Interconnection Networks Madeleine Glick APIC Corporation Cornell Nanophotonics Group Collaborators Abhinav Rohit, Gerald Miller, Madeleine Glick, Raj Dutt APIC Corporation Sébastien Rumley,

More information

Interconnection Networks

Interconnection Networks Advanced Computer Architecture (0630561) Lecture 15 Interconnection Networks Prof. Kasim M. Al-Aubidy Computer Eng. Dept. Interconnection Networks: Multiprocessors INs can be classified based on: 1. Mode

More information

Switch Fabric Implementation Using Shared Memory

Switch Fabric Implementation Using Shared Memory Order this document by /D Switch Fabric Implementation Using Shared Memory Prepared by: Lakshmi Mandyam and B. Kinney INTRODUCTION Whether it be for the World Wide Web or for an intra office network, today

More information

CONSTRAINT RANDOM VERIFICATION OF NETWORK ROUTER FOR SYSTEM ON CHIP APPLICATION

CONSTRAINT RANDOM VERIFICATION OF NETWORK ROUTER FOR SYSTEM ON CHIP APPLICATION CONSTRAINT RANDOM VERIFICATION OF NETWORK ROUTER FOR SYSTEM ON CHIP APPLICATION T.S Ghouse Basha 1, P. Santhamma 2, S. Santhi 3 1 Associate Professor & Head, Department Electronic & Communication Engineering,

More information

Outline. Introduction. Multiprocessor Systems on Chip. A MPSoC Example: Nexperia DVP. A New Paradigm: Network on Chip

Outline. Introduction. Multiprocessor Systems on Chip. A MPSoC Example: Nexperia DVP. A New Paradigm: Network on Chip Outline Modeling, simulation and optimization of Multi-Processor SoCs (MPSoCs) Università of Verona Dipartimento di Informatica MPSoCs: Multi-Processor Systems on Chip A simulation platform for a MPSoC

More information

VLSI IMPLEMENTATION OF INTERNET CHECKSUM CALCULATION FOR 10 GIGABIT ETHERNET

VLSI IMPLEMENTATION OF INTERNET CHECKSUM CALCULATION FOR 10 GIGABIT ETHERNET VLSI IMPLEMENTATION OF INTERNET CHECKSUM CALCULATION FOR 10 GIGABIT ETHERNET Tomas Henriksson, Niklas Persson and Dake Liu Department of Electrical Engineering, Linköpings universitet SE-581 83 Linköping

More information

InfiniBand Clustering

InfiniBand Clustering White Paper InfiniBand Clustering Delivering Better Price/Performance than Ethernet 1.0 Introduction High performance computing clusters typically utilize Clos networks, more commonly known as Fat Tree

More information

A Generic Network Interface Architecture for a Networked Processor Array (NePA)

A Generic Network Interface Architecture for a Networked Processor Array (NePA) A Generic Network Interface Architecture for a Networked Processor Array (NePA) Seung Eun Lee, Jun Ho Bahn, Yoon Seok Yang, and Nader Bagherzadeh EECS @ University of California, Irvine Outline Introduction

More information

Configuration Discovery and Mapping of a Home Network

Configuration Discovery and Mapping of a Home Network Communicating Process Architectures 2002 191 James Pascoe, Peter Welch, Roger Loader and Vaidy Sunderam (Eds.) IOS Press, 2002 Configuration Discovery and Mapping of a Home Network Keith PUGH Computer

More information

The Economics of Cisco s nlight Multilayer Control Plane Architecture

The Economics of Cisco s nlight Multilayer Control Plane Architecture The Economics of Cisco s nlight Multilayer Control Plane Architecture Executive Summary Networks are becoming more difficult to plan and optimize because of high traffic growth, volatile traffic patterns,

More information

Interconnection Network Design

Interconnection Network Design Interconnection Network Design Vida Vukašinović 1 Introduction Parallel computer networks are interesting topic, but they are also difficult to understand in an overall sense. The topological structure

More information

Question: 3 When using Application Intelligence, Server Time may be defined as.

Question: 3 When using Application Intelligence, Server Time may be defined as. 1 Network General - 1T6-521 Application Performance Analysis and Troubleshooting Question: 1 One component in an application turn is. A. Server response time B. Network process time C. Application response

More information

- Nishad Nerurkar. - Aniket Mhatre

- Nishad Nerurkar. - Aniket Mhatre - Nishad Nerurkar - Aniket Mhatre Single Chip Cloud Computer is a project developed by Intel. It was developed by Intel Lab Bangalore, Intel Lab America and Intel Lab Germany. It is part of a larger project,

More information

Quality of Service (QoS) for Asynchronous On-Chip Networks

Quality of Service (QoS) for Asynchronous On-Chip Networks Quality of Service (QoS) for synchronous On-Chip Networks Tomaz Felicijan and Steve Furber Department of Computer Science The University of Manchester Oxford Road, Manchester, M13 9PL, UK {felicijt,sfurber}@cs.man.ac.uk

More information

MAXIMIZING RESTORABLE THROUGHPUT IN MPLS NETWORKS

MAXIMIZING RESTORABLE THROUGHPUT IN MPLS NETWORKS MAXIMIZING RESTORABLE THROUGHPUT IN MPLS NETWORKS 1 M.LAKSHMI, 2 N.LAKSHMI 1 Assitant Professor, Dept.of.Computer science, MCC college.pattukottai. 2 Research Scholar, Dept.of.Computer science, MCC college.pattukottai.

More information

4 Internet QoS Management

4 Internet QoS Management 4 Internet QoS Management Rolf Stadler School of Electrical Engineering KTH Royal Institute of Technology stadler@ee.kth.se September 2008 Overview Network Management Performance Mgt QoS Mgt Resource Control

More information

A Comparison Study of Qos Using Different Routing Algorithms In Mobile Ad Hoc Networks

A Comparison Study of Qos Using Different Routing Algorithms In Mobile Ad Hoc Networks A Comparison Study of Qos Using Different Routing Algorithms In Mobile Ad Hoc Networks T.Chandrasekhar 1, J.S.Chakravarthi 2, K.Sravya 3 Professor, Dept. of Electronics and Communication Engg., GIET Engg.

More information

Exploiting Stateful Inspection of Network Security in Reconfigurable Hardware

Exploiting Stateful Inspection of Network Security in Reconfigurable Hardware Exploiting Stateful Inspection of Network Security in Reconfigurable Hardware Shaomeng Li, Jim Tørresen, Oddvar Søråsen Department of Informatics University of Oslo N-0316 Oslo, Norway {shaomenl, jimtoer,

More information

SUPPORT FOR HIGH-PRIORITY TRAFFIC IN VLSI COMMUNICATION SWITCHES

SUPPORT FOR HIGH-PRIORITY TRAFFIC IN VLSI COMMUNICATION SWITCHES 9th Real-Time Systems Symposium Huntsville, Alabama, pp 191-, December 1988 SUPPORT FOR HIGH-PRIORITY TRAFFIC IN VLSI COMMUNICATION SWITCHES Yuval Tamir and Gregory L Frazier Computer Science Department

More information

A Detailed and Flexible Cycle-Accurate Network-on-Chip Simulator

A Detailed and Flexible Cycle-Accurate Network-on-Chip Simulator A Detailed and Flexible Cycle-Accurate Network-on-Chip Simulator Nan Jiang Stanford University qtedq@cva.stanford.edu James Balfour Google Inc. jbalfour@google.com Daniel U. Becker Stanford University

More information

Customer Specific Wireless Network Solutions Based on Standard IEEE 802.15.4

Customer Specific Wireless Network Solutions Based on Standard IEEE 802.15.4 Customer Specific Wireless Network Solutions Based on Standard IEEE 802.15.4 Michael Binhack, sentec Elektronik GmbH, Werner-von-Siemens-Str. 6, 98693 Ilmenau, Germany Gerald Kupris, Freescale Semiconductor

More information

Lecture 12 Transport Networks (SONET) and circuit-switched networks

Lecture 12 Transport Networks (SONET) and circuit-switched networks CS4/MSc Computer Networking Lecture 1 Transport Networks (SONET) and circuit-switched networks Computer Networking, Copyright University of Edinburgh 005 Transport Networks and SONET/SDH In most cases

More information

How To Test For 10 Gigabit Ethernet At 10 Gb/S

How To Test For 10 Gigabit Ethernet At 10 Gb/S White Paper Testing Scenarios for Ethernet at 10 Gb/s By Guylain Barlow Introduction As a natural evolution, the typical test concepts borrowed from lower-rate Ethernet apply to 10 Gigabit Ethernet (GigE).

More information

Design of a Feasible On-Chip Interconnection Network for a Chip Multiprocessor (CMP)

Design of a Feasible On-Chip Interconnection Network for a Chip Multiprocessor (CMP) 19th International Symposium on Computer Architecture and High Performance Computing Design of a Feasible On-Chip Interconnection Network for a Chip Multiprocessor (CMP) Seung Eun Lee, Jun Ho Bahn, and

More information

Interconnection Networks

Interconnection Networks CMPT765/408 08-1 Interconnection Networks Qianping Gu 1 Interconnection Networks The note is mainly based on Chapters 1, 2, and 4 of Interconnection Networks, An Engineering Approach by J. Duato, S. Yalamanchili,

More information

Chapter 3. Enterprise Campus Network Design

Chapter 3. Enterprise Campus Network Design Chapter 3 Enterprise Campus Network Design 1 Overview The network foundation hosting these technologies for an emerging enterprise should be efficient, highly available, scalable, and manageable. This

More information

A Software Architecture for a Photonic Network Planning Tool

A Software Architecture for a Photonic Network Planning Tool A Software Architecture for a Photonic Network Planning Tool Volker Feil, Jan Späth University of Stuttgart, Institute of Communication Networks and Computer Engineering Pfaffenwaldring 47, D-70569 Stuttgart

More information

Topology adaptive network-on-chip design and implementation

Topology adaptive network-on-chip design and implementation Topology adaptive network-on-chip design and implementation T.A. Bartic, J.-Y. Mignolet, V. Nollet, T. Marescaux, D. Verkest, S. Vernalde and R. Lauwereins Abstract: Network-on-chip designs promise to

More information

Improving Router Efficiency in Network on Chip Triplet-Based Hierarchical Interconnection Network with Shared Buffer Design

Improving Router Efficiency in Network on Chip Triplet-Based Hierarchical Interconnection Network with Shared Buffer Design 2014 Fifth International Conference on Intelligent Systems, Modelling and Simulation Improving outer Efficiency in Network on Chip Triplet-Based Hierarchical Interconnection Network with Shared Buffer

More information

Parallel Programming

Parallel Programming Parallel Programming Parallel Architectures Diego Fabregat-Traver and Prof. Paolo Bientinesi HPAC, RWTH Aachen fabregat@aices.rwth-aachen.de WS15/16 Parallel Architectures Acknowledgements Prof. Felix

More information

Performance Evaluation of Multi-Core Multi-Cluster Architecture (MCMCA)

Performance Evaluation of Multi-Core Multi-Cluster Architecture (MCMCA) Performance Evaluation of Multi-Core Multi-Cluster Architecture (MCMCA) Norhazlina Hamid, Robert J. Walters and Gary B. Wills School of Electronics & Computer Science, University of Southampton, SO17 1BJ,

More information

WDM Passive Optical Networks: Protection and Restoration

WDM Passive Optical Networks: Protection and Restoration OECC 2009 Workshop: Next-generation Broadband Optical Access Future Challenges Session 1: Broadband Network Architectures, WDM PON Evolution Strategies and Future Ultra-high- bandwidth Services WDM Passive

More information

Vorlesung Rechnerarchitektur 2 Seite 178 DASH

Vorlesung Rechnerarchitektur 2 Seite 178 DASH Vorlesung Rechnerarchitektur 2 Seite 178 Architecture for Shared () The -architecture is a cache coherent, NUMA multiprocessor system, developed at CSL-Stanford by John Hennessy, Daniel Lenoski, Monica

More information

Multiple Layer Traffic Engineering in NTT Network Service

Multiple Layer Traffic Engineering in NTT Network Service Multi-layer traffic engineering in photonic-gmpls-router networks Naoaki Yamanaka, Masaru Katayama, Kohei Shiomoto, Eiji Oki and Nobuaki Matsuura * NTT Network Innovation Laboratories * NTT Network Service

More information

Using Fuzzy Logic Control to Provide Intelligent Traffic Management Service for High-Speed Networks ABSTRACT:

Using Fuzzy Logic Control to Provide Intelligent Traffic Management Service for High-Speed Networks ABSTRACT: Using Fuzzy Logic Control to Provide Intelligent Traffic Management Service for High-Speed Networks ABSTRACT: In view of the fast-growing Internet traffic, this paper propose a distributed traffic management

More information

SAN Conceptual and Design Basics

SAN Conceptual and Design Basics TECHNICAL NOTE VMware Infrastructure 3 SAN Conceptual and Design Basics VMware ESX Server can be used in conjunction with a SAN (storage area network), a specialized high speed network that connects computer

More information