Improving Router Efficiency in Network on Chip Triplet-Based Hierarchical Interconnection Network with Shared Buffer Design

Size: px
Start display at page:

Download "Improving Router Efficiency in Network on Chip Triplet-Based Hierarchical Interconnection Network with Shared Buffer Design"

Transcription

1 2014 Fifth International Conference on Intelligent Systems, Modelling and Simulation Improving outer Efficiency in Network on Chip Triplet-Based Hierarchical Interconnection Network with Shared Buffer Design Shahnawaz Talpur 1,3, Shahnawaz Farhan Khahro 2, Amir Mahmood Soomro 2 1 School of Computer Science and Technology 2 School of Automation Beijing Institute of Technology Beijing,.P China 3 Dept. Computer Systems Engineering Mehran University of Engineering and Technology Jamshoro Sindh Pakistan mirshan35@hotmail.com,sfarhan@126.com Abstract In Network on Chip effectiveness of router is dependent on the buffer locality; which makes the efficient flow control. Previous buffer design of Triplet-Based Hierarchical interconnection network (TBHIN) is standard, which leads to insufficient accessibility of this decisive resource, where each virtual channel owns a fixed number of buffers. In this article the design is implemented with sharing the buffers among the virtual channels, to improve the performance. The cyclic queue is allowed the simultaneous access to the shared buffer, which is one of the characteristics of TBHIN. A cycle-accurate simulator is used to obtain packet latency and throughput results for conventional and shared buffer designs. Simulation results illustrate that the packet latency is reduces up to 29% by shared buffer design in comparison to conventional buffer design. Also shared buffer design improves throughput up to 11.87% over the conventional buffer design. Keywords TBHIN, Shared buffer, performance evaluation, Network on chip I. INTODUCTION Packet-switched network-on-chip (NoC) becomes the interconnection fabric in both the general-purpose multiprocessor chips and the application specific systems-onchip. So for traversing packets smoothly and avoid traffic congestion, efficient buffer design is obligatory. Buffers provide spaces for arriving flits, so an efficient buffer designing is considered an important factor in the flow control design of router architecture. In buffer designing lot of research is done such as some have focused on the input buffer design [1], while the others have intension toward output buffer design [2]. Some researchers are concerned about energy-saved [3-5], high-throughput [6-8], self-compacting[9], efficiency [10]and shared [11-14] buffer design. Generally the architects pay attention to general structure of on-chip design [15] whereas several strived to design shared buffer for real-time system [16]. In NoC mostly the buffers are design keeping the 2D-Mesh topology in mind, however our architecture topology TBHIN is different than the 2D-mesh topology. So the Abdul Sattar Saand Electrical Engineering department University Teknologi PETONAS, Bandar Seri Iskandar, Tronoh, Perak,Malaysia Electrical Engineering department QUEST Nawabshah, Sindh Pakaistan ssaands@yahoo.com buffers are designed considering the characteristics of TBHIN. Buffer is an important unit in the design of router architecture. Shared buffer is widely adopted in the design of router. In [8, 11-14] have discussed the shared buffer design in detail. Soteriou et al.[2] discuss the high-throughput shared buffer design. They show the advantages and disadvantages of input-buffer router and output-buffer router. They proposed a new router design that aims to emulate an output buffer design including efficient pipeline and novel flow control. Our design is more concerned about the input shared buffer design. Wei et al.[11] present HiBB shared buffer in NoC router based on Hierarchical Bit-line Buffer. HiBB has low power inherent attribute and flexible configuration according to the traffic. Zhang et al.[12] propose network on chip DAMQ-FP dynamically shared buffer to decrease the memory and area requirement of statically allocating shared buffer among multiple virtual channels. It can decrease the latency and get high throughput. Zhang et al. [13] discuss the shared buffer design and evaluates it using an application of JPEG decoding. Their observations provide evidence that memory resources can be saved distinctly using shared buffer method. Tran et al. [14] are motivated from the scenario of regular workload in which huge numbers of buffers are idle whereas some are always busy. In their router design buffers are shared with multiple input ports to improve the performance. Different from their design, our design of shared buffer is oriented to TriBA where we adopt a new controller to control the buffer allocation. This article illustrates the shared buffer design in TBHIN on each port, compared to fixed number of buffers for each virtual channel. The detailed design of shared buffer for TBHIN is presented in this paper and employs an address queue to control the allocation and de-allocation of buffer spaces. The buffer structure is arranged into linked list structure in which the pointer at head and the pointer at tail are used to control the read and write /14 $ IEEE DOI /ISMS

2 The remaining part of article is prepared with following sections. Background and motivation is described in section 2 along with TBHIN and problem statement. Section 3 describes proposed mechanism of sharing buffers for TBHIN. esults are illustrated in section 4 and the article is concluded in section 5. II. BACKGOUND AND MOTIVATION TBHIN is a new hierarchical and scalable topology in Network on Chip, which has many advantages over other NoCs, such as simple topology, full-connectivity for locality, and the scalability to build a large-scale TBHIN [17, 18]. Three levels of TBHIN are shown in Figure 1. Lower level to Upper level TBHIN is constructed, based on fundamental module, recursively with replacement of nodes in basic structure. It could be observed from Figure 1(c) which is extended from 2nd level shown in Fig 1(b). A distributed deterministic routing algorithm (DDA) [19] is used for routing in TBHIN. Based on TBHIN topology, Triplet-Based Architecture (TriBA) was proposed as an object oriented architecture[17], which has communication locality feature [20]. Messages are passing between cores. TriBA consists of three parts: InterUnit, ProcUnit and DataUnit, where InterUnit is responsible for the interconnection between cores. The work of this paper is associated with the InterUnit. Shared buffer is one of the most important parts to implement the performance of InterUnit. (a) 3-node(K=1) (c) 27-node(K=3) (b) 9-node(K=2) Figure 1 The number of nodes in a K TBHIN is 3 n, where K is the level of TBHIN and n=1,2,3, A. Problems Statement Despite advantages of TBHIN, it has critical pathway in the network. For a 9-node TBHIN, if any node A/B/C of one triplet needs to send packets using its default DDA routing algorithm to another triplet s node G/H/I, those packets have to traverse on the link between node C and H. It is obvious this is the only link for traversing the packets during the communication, which will lead to increase of the injection rate and causes congestion problem due to more interchange. Links between node B to D and node F to G also suffer in this situation. Moreover, link between nodes B to C will have the possibility of blockage because node B uses the same link to node C/H/G/I. Also the same link would be occupied too, if the node C receive packets from the node D/E/F. A D B Critical pathway E F G C Figure 2 The critical pathway Links between node D to F and node H to G also face the same situation. So there exists a round critical pathway, which might cause the serious collisions in the network traffic. Figure. 2 illustrates the critical pathway. The fixed number of buffers cannot exert the TBHIN performance, because the path in the critical pathway will consume more buffers than the common path. It will lead the node to be the critical pathway and become the crowded node during the communication. So we adopt the shared buffer design for TBHIN to make the buffer availability more efficient. III. SHAED BUFFE DESIGN Buffers have the efficient functionality in basic infrastructure of router architecture design. They are common to provide spaces for arriving flits. Some researchers express that in NoC router buffers are area occupants and the largest leakage power consumers, 64% is observed consuming power leakage of total router in[21]and in [22] states that buffer space is dominated. TriBA, as an object-oriented architecture, has high requirements for message storage spaces. It needs to store as many messages as possible. In order to achieve this goal, shared buffers are designed in each direction. All the virtual channels in each direction own a shared buffer with a buffer depth of 8. For TriBA, there are four directions including the local port. This implies that there are 32 buffers plots for all four directions. The advantage of such design allocates buffers to each arriving flit according to the need of each direction. If a virtual channel needs to store more messages, then it is allocated more buffer spaces. If one virtual channel H I 520

3 needs to store fewer messages, then it is allocated less buffer spaces. We select 8 buffers for each direction because more buffers will occupy large area of router design and fewer buffers will lead to low efficiency. The number of buffers is selected according to the characteristic of TriBA. Buffer could be arranged at the front or back of crossbar, and is named input buffers or output buffers respectively. Output buffers are attractive because they have lower latency and higher throughput under high workloads. However, output buffers suffer from the requirement of high clock speed to match the four port input and the power budgets. These prohibitive factors of output buffers have led to adoption of input buffer and therefore selected for our design. To implement the shared buffer mechanism, the detailed buffer structure and its controller are shown in Figure 3. This structure includes receiving module, four buffer queue named Queue_i (i=0,1,2,3), one shared buffer controller named AddrQueue, and the sending module. The receiving and sending module are charged for receiving data and sending data. The Queue_i includes the queue Queue_0 for the local port, and Queue_1 for the top port, Queue_2 for the left port and Queue_3 for the right port. Each queue Queue_i has the read and write operation units, which are responsible for reading data from and writing data into buffers. Note that only the addresses of the data are written and read from the Queue_i. The crossbar is similar to the conventional design so that part doesn t include in the Figure. AddrQueue is a queue controller with 5-bit address length, that is, it has 32-flit buffer depth. The task of AddrQueue is to allocate and set the buffers free to use. Figure 4 shows the detailed design of AddrQueue. The valid write buffer position is that, which would be unoccupied items in AddrQueue. The AddrQueue consists of data item and address item. The data item is the messages stored in buffer. The address item is the actual address that will be stored in Queue_i. The way of AddrQueue arrangement prefers the indirect address. Each Queue_i is arranged like a linked list which has a pointer on tail and on head. When the network receives a message, it will be stored into the queue AddrQueue, and put the corresponding address to which the head pointer is pointing to. If the message is stored successfully, the head pointer will increase its position counter. When sending out a message, the message address will be gotten, which will be pointed by the tail pointer and the data will be deleted from the buffer. After the message is sent out successfully, the tail pointer will increase its position counter accordingly. Note that AddrQueue is a controller with set of 4 input and 4 output channels. All these channels read and write these units in parallel without interleaving for each other. It requires the AddrQueue running with a higher clock frequency. All the shared buffers are arranged into a queue. The read and write operation actually write the address of data to the buffer which makes each data in queue Queue_i of the same length. As mentioned earlier, TriBA is an object-oriented architecture and its network transmits well-structured object message. Object message is of fixed length with 32-bit. Each unit is arranged into same length thus an object message can Sending Block with Channels address ead write Queue_0 00H 03H Queue_ AddrQueu e i W i Queue_3 Queue_2 Queue_1 Queue_0 Figure 3 Shared buffer design for TBHIN 10H 02H data XXH Msg1_0 XXH Msg3_0 Msg2_3 Msg1_1 Msg0_2 Msg1_0 Msg0_4 address 1BH 1AH 04H 03H 02H 01H 00H XXH : unoccupied unit eceiving Block with Channels Chan Chan Chan Chan 3 1AH 04H Figure 4.AddrQueue Controller 2 1 Queue_3 Queue_2 only be arranged to a unit. We use XXH to represent the available unit and waiting for a massage to be inserted into it

4 IV. SIMULATION Noxim [23], a cycle-accurate simulator developed by System C is used for conducting the simulation. The available version of Noxim is oriented to 2D-Mesh. The TBHIN topology is different from 2D-Mesh topology; so it is modify to build the TBHIN interconnection network. The main modifications include: 1) each node degree. Except the local port each node in the TBHIN has degree 3. Each port is labeled as 0, 1, and 2 whereas 3 is labeled to the local port. 2) Ports connection. To route TBHIN, we adopt the DDA routing algorithm to run the Noxim simulator. 3) Signal invalid. We disable the links of three vertexes and make the corresponding signals eq and Ack invalid. A 9- node TBHIN is built through the above modification. The related parameters of Noxim are configured as shown in Table 1. Four traffic patterns are selected to evaluate the design including transpose, random,, shuffle and bitreversal. High throughput and low latency parameters have the imperative effect in the design of NoCs and buffers play an important role to achieve these goals. In this section, the latency and throughput simulation results are illustrated. A. Average traffic latency Figure 5 presents the average latency under different injection rates. All the data are 3 identical runs. Clearly, the proposed shared buffer design outperforms the non-shared buffer design (here it is referred as conventional design) under all traffic patterns. Packets are injected from each node to arbitrary scattered destinations into the network assuming as random traffic. As compared to the conventional design, the shared buffer design reduces up to 28.99% average latency in random traffic pattern. The message distribution is representing with a traffic matrix for the transpose traffic pattern. A 3 3 matrix is constructed with three rows, for 9-node TBHIN. The average latency reduces up to 29% in transpose traffic pattern. The shared buffer design reduces average latency up to 26% in the bitreversal and 12.07% in the shuffle traffic patterns compared to the conventional design. Overall, the shared buffer design outperforms the conventional design for all four traffic patterns. the channels become saturated in the network. Figure 6 presents the throughput results. The throughput increases consequently as the injection rate increased. The throughput will not be rising any more when the injected rate arrives at peak value. The throughput graph is represented in the same trend as in the average latency testing. Overall, our proposed shared buffer design can outperform the nonshared buffer Figure 5 Average Packet latency TABLE I. THE CONFIGUATION OF NOXIM SIMULATO Parameter Traffic mode Buffer depth Simulation cycles Warmup cycles Flit size Number of virtual channel Number of port Value andom, Transpose, Bitreversal, Shuffle 8 flits cycles 1000 cycles 32 bits 4 4 B. Throughput. The number of flits accepted per cycle is measured as the throughput. High throughput commonly occurs when Figure 6 Throughput design by 8.34% for transpose,12%for random, 5.19% for shuffle and 8.2% for bitreversal traffic pattern under the saturation throughput. For latency and throughput experiments, shared buffer design outperforms non-shared buffer design. Simulation results show that shared-buffer is better than non-shared buffer design for TBHIN. 522

5 V. CONCLUSIONS To handle increased number of messages TriBA architecture requires higher number of buffers. This paper proposes the shared buffer design for TriBA interconnection network TBHIN and to make the availability of buffer more efficiently. The detailed design is illustrated in this paper. Simulation results using a cycleaccurate simulator shows that shared buffer design reduces up to 29% packet latency and improve up to 11.87% throughput over the non-shared buffer design. The result shows that shared buffer design is more suitable to TBHIN. ACKNOWLEDGMENT This work is partially supported by National Nature Science Foundation of China. EFEENCES [1] Chu Van, T. and S. Oyanagi. An Input Buffer Architecture for Onchip outers. in Networking and Computing (ICNC), 2011 Second International Conference on [2] Soteriou, V., et al., A high-throughput distributed shared-buffer noc router. Computer Architecture Letters, (1): p [3] Banerjee, N., P. Vellanki, and K.S. Chatha. A power and performance model for network-on-chip architectures. in Design, Automation and Test in Europe Conference and Exhibition, Proceedings IEEE. [4] Zhang, Y., et al. Express outer Microarchitecture for Triplet-based Hierarchical Interconnection Network. in 2012 IEEE 14th International Conference on High Performance Computing and Communication IEEE. [5] Arjomand, M. and H. Sarbazi-Azad, Power-Performance Analysis of Networks-on-Chip With Arbitrary Buffer Allocation Schemes. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, (10): p [6] amanujam,.s., et al. Design of a high-throughput distributed shared-buffer NoC router. in Fourth ACM/IEEE International Symposium on Networks-on-Chip (NOCS) IEEE. [7] Goossens, K., L. Mhamdi, and I.V. Senin. Internet-outer Buffered Crossbars Based on Networks on Chip. in Digital System Design, Architectures, Methods and Tools, DSD '09. 12th Euromicro Conference on [8] amanujam,.s., et al., Extending the Effective Throughput of NoCs With Distributed Shared-Buffer outers. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, (4): p [9] Liu, J. and J.G. Delgado-Frias. A shared self-compacting buffer for network-on-chip systems. in 49th IEEE International Midwest Symposium on Circuits and Systems IEEE. [10] Wu, S.-T., et al. Dynamic Channel Flow Control of Networks-on- Chip Systems for High Buffer Efficiency. in Signal Processing Systems, 2007 IEEE Workshop on [11] Wei, S., et al. A novel shared-buffer router for network-on-chip based on Hierarchical Bit-line Buffer. in Computer Design (ICCD), 2011 IEEE 29th International Conference on [12] Zhang, H., et al. A Multi-VC Dynamically Shared Buffer with Prefetch for Network on Chip. in Networking, Architecture and Storage (NAS), 2012 IEEE 7th International Conference on IEEE. [13] Zhang, D., et al. Design and evaluation of shared buffer based NoC. in Computational Intelligence and Industrial Applications, PACIIA Asia-Pacific Conference on [14] Tran, A.T. and B.M. Baas. DLABS: A dual-lane buffer-sharing router architecture for networks on chip. in Signal Processing Systems (SIPS), 2010 IEEE Workshop on [15] Guerrier, P. and A. Greiner. A generic architecture for on-chip packet-switched interconnections. in Design, Automation and Test in Europe Conference and Exhibition Proceedings IEEE. [16] exford, J., J. Hall, and K.G. Shin, A router architecture for realtime communication in multicomputer networks. Computers, IEEE Transactions on, (10): p [17] Shi, F., et al. A triplet-based computer architecture supporting parallel object computing. in Application-specific Systems, Architectures and Processors, ASAP. IEEE International Conf. on IEEE. [18] Khan, H.U.., et al., Computationally efficient locality-aware interconnection topology for multi-processor system-on-chip (MP- SoC). Chinese Science Bulletin, (29): p [19] Qiao, B., F. Shi, and W. Ji. A New Hierarchical Interconnection network for multi-core processor. in Industrial Electronics and Applications, ICIEA nd IEEE Conference on IEEE. [20] Shahnawaz, T., S. Feng, and W. Yizhuo. Communication Locality Analysis oftriplet-based Hierarchical Interconnection Network in chip Multiprocessor. in NPC [21] Xuning, C. and P. Li-Shiuan. Leakage power modeling and optimization in interconnection networks. in Low Power Electronics and Design, ISLPED '03. Proceedings of the 2003 International Symposium on [22] Dally, W.J. and B. Towles. oute packets, not wires: on-chip interconnection networks. in Design Automation Conference, Proceedings [23] Fazzino, F., M. Palesi, and D. Patti, Noxim: Network-on-chip simulator. UL: [ ],

A Dynamic Link Allocation Router

A Dynamic Link Allocation Router A Dynamic Link Allocation Router Wei Song and Doug Edwards School of Computer Science, the University of Manchester Oxford Road, Manchester M13 9PL, UK {songw, doug}@cs.man.ac.uk Abstract The connection

More information

Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip

Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip Ms Lavanya Thunuguntla 1, Saritha Sapa 2 1 Associate Professor, Department of ECE, HITAM, Telangana

More information

Hyper Node Torus: A New Interconnection Network for High Speed Packet Processors

Hyper Node Torus: A New Interconnection Network for High Speed Packet Processors 2011 International Symposium on Computer Networks and Distributed Systems (CNDS), February 23-24, 2011 Hyper Node Torus: A New Interconnection Network for High Speed Packet Processors Atefeh Khosravi,

More information

3D On-chip Data Center Networks Using Circuit Switches and Packet Switches

3D On-chip Data Center Networks Using Circuit Switches and Packet Switches 3D On-chip Data Center Networks Using Circuit Switches and Packet Switches Takahide Ikeda Yuichi Ohsita, and Masayuki Murata Graduate School of Information Science and Technology, Osaka University Osaka,

More information

Asynchronous Bypass Channels

Asynchronous Bypass Channels Asynchronous Bypass Channels Improving Performance for Multi-Synchronous NoCs T. Jain, P. Gratz, A. Sprintson, G. Choi, Department of Electrical and Computer Engineering, Texas A&M University, USA Table

More information

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng Architectural Level Power Consumption of Network Presenter: YUAN Zheng Why Architectural Low Power Design? High-speed and large volume communication among different parts on a chip Problem: Power consumption

More information

Design and Implementation of an On-Chip Permutation Network for Multiprocessor System-On-Chip

Design and Implementation of an On-Chip Permutation Network for Multiprocessor System-On-Chip Design and Implementation of an On-Chip Permutation Network for Multiprocessor System-On-Chip Manjunath E 1, Dhana Selvi D 2 M.Tech Student [DE], Dept. of ECE, CMRIT, AECS Layout, Bangalore, Karnataka,

More information

Hardware Implementation of Improved Adaptive NoC Router with Flit Flow History based Load Balancing Selection Strategy

Hardware Implementation of Improved Adaptive NoC Router with Flit Flow History based Load Balancing Selection Strategy Hardware Implementation of Improved Adaptive NoC Rer with Flit Flow History based Load Balancing Selection Strategy Parag Parandkar 1, Sumant Katiyal 2, Geetesh Kwatra 3 1,3 Research Scholar, School of

More information

TRACKER: A Low Overhead Adaptive NoC Router with Load Balancing Selection Strategy

TRACKER: A Low Overhead Adaptive NoC Router with Load Balancing Selection Strategy TRACKER: A Low Overhead Adaptive NoC Router with Load Balancing Selection Strategy John Jose, K.V. Mahathi, J. Shiva Shankar and Madhu Mutyam PACE Laboratory, Department of Computer Science and Engineering

More information

A Low Latency Router Supporting Adaptivity for On-Chip Interconnects

A Low Latency Router Supporting Adaptivity for On-Chip Interconnects A Low Latency Supporting Adaptivity for On-Chip Interconnects Jongman Kim Dongkook Park T. Theocharides N. Vijaykrishnan Chita R. Das Department of Computer Science and Engineering The Pennsylvania State

More information

Design of a Feasible On-Chip Interconnection Network for a Chip Multiprocessor (CMP)

Design of a Feasible On-Chip Interconnection Network for a Chip Multiprocessor (CMP) 19th International Symposium on Computer Architecture and High Performance Computing Design of a Feasible On-Chip Interconnection Network for a Chip Multiprocessor (CMP) Seung Eun Lee, Jun Ho Bahn, and

More information

Optimizing Configuration and Application Mapping for MPSoC Architectures

Optimizing Configuration and Application Mapping for MPSoC Architectures Optimizing Configuration and Application Mapping for MPSoC Architectures École Polytechnique de Montréal, Canada Email : Sebastien.Le-Beux@polymtl.ca 1 Multi-Processor Systems on Chip (MPSoC) Design Trends

More information

Interconnection Networks

Interconnection Networks Advanced Computer Architecture (0630561) Lecture 15 Interconnection Networks Prof. Kasim M. Al-Aubidy Computer Eng. Dept. Interconnection Networks: Multiprocessors INs can be classified based on: 1. Mode

More information

Lecture 18: Interconnection Networks. CMU 15-418: Parallel Computer Architecture and Programming (Spring 2012)

Lecture 18: Interconnection Networks. CMU 15-418: Parallel Computer Architecture and Programming (Spring 2012) Lecture 18: Interconnection Networks CMU 15-418: Parallel Computer Architecture and Programming (Spring 2012) Announcements Project deadlines: - Mon, April 2: project proposal: 1-2 page writeup - Fri,

More information

Switched Interconnect for System-on-a-Chip Designs

Switched Interconnect for System-on-a-Chip Designs witched Interconnect for ystem-on-a-chip Designs Abstract Daniel iklund and Dake Liu Dept. of Physics and Measurement Technology Linköping University -581 83 Linköping {danwi,dake}@ifm.liu.se ith the increased

More information

A CDMA Based Scalable Hierarchical Architecture for Network- On-Chip

A CDMA Based Scalable Hierarchical Architecture for Network- On-Chip www.ijcsi.org 241 A CDMA Based Scalable Hierarchical Architecture for Network- On-Chip Ahmed A. El Badry 1 and Mohamed A. Abd El Ghany 2 1 Communications Engineering Dept., German University in Cairo,

More information

Towards a Design Space Exploration Methodology for System-on-Chip

Towards a Design Space Exploration Methodology for System-on-Chip BULGARIAN ACADEMY OF SCIENCES CYBERNETICS AND INFORMATION TECHNOLOGIES Volume 14, No 1 Sofia 2014 Print ISSN: 1311-9702; Online ISSN: 1314-4081 DOI: 10.2478/cait-2014-0008 Towards a Design Space Exploration

More information

- Nishad Nerurkar. - Aniket Mhatre

- Nishad Nerurkar. - Aniket Mhatre - Nishad Nerurkar - Aniket Mhatre Single Chip Cloud Computer is a project developed by Intel. It was developed by Intel Lab Bangalore, Intel Lab America and Intel Lab Germany. It is part of a larger project,

More information

Leveraging Torus Topology with Deadlock Recovery for Cost-Efficient On-Chip Network

Leveraging Torus Topology with Deadlock Recovery for Cost-Efficient On-Chip Network Leveraging Torus Topology with Deadlock ecovery for Cost-Efficient On-Chip Network Minjeong Shin, John Kim Department of Computer Science KAIST Daejeon, Korea {shinmj, jjk}@kaist.ac.kr Abstract On-chip

More information

Introduction to Exploration and Optimization of Multiprocessor Embedded Architectures based on Networks On-Chip

Introduction to Exploration and Optimization of Multiprocessor Embedded Architectures based on Networks On-Chip Introduction to Exploration and Optimization of Multiprocessor Embedded Architectures based on Networks On-Chip Cristina SILVANO silvano@elet.polimi.it Politecnico di Milano, Milano (Italy) Talk Outline

More information

Optical interconnection networks with time slot routing

Optical interconnection networks with time slot routing Theoretical and Applied Informatics ISSN 896 5 Vol. x 00x, no. x pp. x x Optical interconnection networks with time slot routing IRENEUSZ SZCZEŚNIAK AND ROMAN WYRZYKOWSKI a a Institute of Computer and

More information

Low-Overhead Hard Real-time Aware Interconnect Network Router

Low-Overhead Hard Real-time Aware Interconnect Network Router Low-Overhead Hard Real-time Aware Interconnect Network Router Michel A. Kinsy! Department of Computer and Information Science University of Oregon Srinivas Devadas! Department of Electrical Engineering

More information

Interconnection Networks. Interconnection Networks. Interconnection networks are used everywhere!

Interconnection Networks. Interconnection Networks. Interconnection networks are used everywhere! Interconnection Networks Interconnection Networks Interconnection networks are used everywhere! Supercomputers connecting the processors Routers connecting the ports can consider a router as a parallel

More information

COMMUNICATION PERFORMANCE EVALUATION AND ANALYSIS OF A MESH SYSTEM AREA NETWORK FOR HIGH PERFORMANCE COMPUTERS

COMMUNICATION PERFORMANCE EVALUATION AND ANALYSIS OF A MESH SYSTEM AREA NETWORK FOR HIGH PERFORMANCE COMPUTERS COMMUNICATION PERFORMANCE EVALUATION AND ANALYSIS OF A MESH SYSTEM AREA NETWORK FOR HIGH PERFORMANCE COMPUTERS PLAMENKA BOROVSKA, OGNIAN NAKOV, DESISLAVA IVANOVA, KAMEN IVANOV, GEORGI GEORGIEV Computer

More information

Scalability and Classifications

Scalability and Classifications Scalability and Classifications 1 Types of Parallel Computers MIMD and SIMD classifications shared and distributed memory multicomputers distributed shared memory computers 2 Network Topologies static

More information

System Interconnect Architectures. Goals and Analysis. Network Properties and Routing. Terminology - 2. Terminology - 1

System Interconnect Architectures. Goals and Analysis. Network Properties and Routing. Terminology - 2. Terminology - 1 System Interconnect Architectures CSCI 8150 Advanced Computer Architecture Hwang, Chapter 2 Program and Network Properties 2.4 System Interconnect Architectures Direct networks for static connections Indirect

More information

Recursive Partitioning Multicast: A Bandwidth-Efficient Routing for Networks-On-Chip

Recursive Partitioning Multicast: A Bandwidth-Efficient Routing for Networks-On-Chip Recursive Partitioning Multicast: A Bandwidth-Efficient Routing for Networks-On-Chip Lei Wang, Yuho Jin, Hyungjun Kim and Eun Jung Kim Department of Computer Science and Engineering Texas A&M University

More information

CONTINUOUS scaling of CMOS technology makes it possible

CONTINUOUS scaling of CMOS technology makes it possible IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 7, JULY 2006 693 It s a Small World After All : NoC Performance Optimization Via Long-Range Link Insertion Umit Y. Ogras,

More information

Analysis of Error Recovery Schemes for Networks-on-Chips

Analysis of Error Recovery Schemes for Networks-on-Chips Analysis of Error Recovery Schemes for Networks-on-Chips 1 Srinivasan Murali, Theocharis Theocharides, Luca Benini, Giovanni De Micheli, N. Vijaykrishnan, Mary Jane Irwin Abstract Network on Chip (NoC)

More information

A Detailed and Flexible Cycle-Accurate Network-on-Chip Simulator

A Detailed and Flexible Cycle-Accurate Network-on-Chip Simulator A Detailed and Flexible Cycle-Accurate Network-on-Chip Simulator Nan Jiang Stanford University qtedq@cva.stanford.edu James Balfour Google Inc. jbalfour@google.com Daniel U. Becker Stanford University

More information

On-Chip Interconnection Networks Low-Power Interconnect

On-Chip Interconnection Networks Low-Power Interconnect On-Chip Interconnection Networks Low-Power Interconnect William J. Dally Computer Systems Laboratory Stanford University ISLPED August 27, 2007 ISLPED: 1 Aug 27, 2007 Outline Demand for On-Chip Networks

More information

Switch Fabric Implementation Using Shared Memory

Switch Fabric Implementation Using Shared Memory Order this document by /D Switch Fabric Implementation Using Shared Memory Prepared by: Lakshmi Mandyam and B. Kinney INTRODUCTION Whether it be for the World Wide Web or for an intra office network, today

More information

Use-it or Lose-it: Wearout and Lifetime in Future Chip-Multiprocessors

Use-it or Lose-it: Wearout and Lifetime in Future Chip-Multiprocessors Use-it or Lose-it: Wearout and Lifetime in Future Chip-Multiprocessors Hyungjun Kim, 1 Arseniy Vitkovsky, 2 Paul V. Gratz, 1 Vassos Soteriou 2 1 Department of Electrical and Computer Engineering, Texas

More information

Performance Evaluation of 2D-Mesh, Ring, and Crossbar Interconnects for Chip Multi- Processors. NoCArc 09

Performance Evaluation of 2D-Mesh, Ring, and Crossbar Interconnects for Chip Multi- Processors. NoCArc 09 Performance Evaluation of 2D-Mesh, Ring, and Crossbar Interconnects for Chip Multi- Processors NoCArc 09 Jesús Camacho Villanueva, José Flich, José Duato Universidad Politécnica de Valencia December 12,

More information

SUPPORT FOR HIGH-PRIORITY TRAFFIC IN VLSI COMMUNICATION SWITCHES

SUPPORT FOR HIGH-PRIORITY TRAFFIC IN VLSI COMMUNICATION SWITCHES 9th Real-Time Systems Symposium Huntsville, Alabama, pp 191-, December 1988 SUPPORT FOR HIGH-PRIORITY TRAFFIC IN VLSI COMMUNICATION SWITCHES Yuval Tamir and Gregory L Frazier Computer Science Department

More information

ECE 358: Computer Networks. Solutions to Homework #4. Chapter 4 - The Network Layer

ECE 358: Computer Networks. Solutions to Homework #4. Chapter 4 - The Network Layer ECE 358: Computer Networks Solutions to Homework #4 Chapter 4 - The Network Layer P 4. Consider the network below. a. Suppose that this network is a datagram network. Show the forwarding table in router

More information

vci_anoc_network Specifications & implementation for the SoClib platform

vci_anoc_network Specifications & implementation for the SoClib platform Laboratoire d électronique de technologie de l information DC roject oclib vci_anoc_network pecifications & implementation for the oclib platform ditor :. MR ANAD Version. : // articipants aux travaux

More information

CROSS LAYER BASED MULTIPATH ROUTING FOR LOAD BALANCING

CROSS LAYER BASED MULTIPATH ROUTING FOR LOAD BALANCING CHAPTER 6 CROSS LAYER BASED MULTIPATH ROUTING FOR LOAD BALANCING 6.1 INTRODUCTION The technical challenges in WMNs are load balancing, optimal routing, fairness, network auto-configuration and mobility

More information

Interconnection Network

Interconnection Network Interconnection Network Recap: Generic Parallel Architecture A generic modern multiprocessor Network Mem Communication assist (CA) $ P Node: processor(s), memory system, plus communication assist Network

More information

Comparative Analysis of Congestion Control Algorithms Using ns-2

Comparative Analysis of Congestion Control Algorithms Using ns-2 www.ijcsi.org 89 Comparative Analysis of Congestion Control Algorithms Using ns-2 Sanjeev Patel 1, P. K. Gupta 2, Arjun Garg 3, Prateek Mehrotra 4 and Manish Chhabra 5 1 Deptt. of Computer Sc. & Engg,

More information

Flexible Deterministic Packet Marking: An IP Traceback Scheme Against DDOS Attacks

Flexible Deterministic Packet Marking: An IP Traceback Scheme Against DDOS Attacks Flexible Deterministic Packet Marking: An IP Traceback Scheme Against DDOS Attacks Prashil S. Waghmare PG student, Sinhgad College of Engineering, Vadgaon, Pune University, Maharashtra, India. prashil.waghmare14@gmail.com

More information

MULTISTAGE INTERCONNECTION NETWORKS: A TRANSITION TO OPTICAL

MULTISTAGE INTERCONNECTION NETWORKS: A TRANSITION TO OPTICAL MULTISTAGE INTERCONNECTION NETWORKS: A TRANSITION TO OPTICAL Sandeep Kumar 1, Arpit Kumar 2 1 Sekhawati Engg. College, Dundlod, Dist. - Jhunjhunu (Raj.), 1987san@gmail.com, 2 KIIT, Gurgaon (HR.), Abstract

More information

Topology adaptive network-on-chip design and implementation

Topology adaptive network-on-chip design and implementation Topology adaptive network-on-chip design and implementation T.A. Bartic, J.-Y. Mignolet, V. Nollet, T. Marescaux, D. Verkest, S. Vernalde and R. Lauwereins Abstract: Network-on-chip designs promise to

More information

Fuzzy Active Queue Management for Assured Forwarding Traffic in Differentiated Services Network

Fuzzy Active Queue Management for Assured Forwarding Traffic in Differentiated Services Network Fuzzy Active Management for Assured Forwarding Traffic in Differentiated Services Network E.S. Ng, K.K. Phang, T.C. Ling, L.Y. Por Department of Computer Systems & Technology Faculty of Computer Science

More information

Outline. Introduction. Multiprocessor Systems on Chip. A MPSoC Example: Nexperia DVP. A New Paradigm: Network on Chip

Outline. Introduction. Multiprocessor Systems on Chip. A MPSoC Example: Nexperia DVP. A New Paradigm: Network on Chip Outline Modeling, simulation and optimization of Multi-Processor SoCs (MPSoCs) Università of Verona Dipartimento di Informatica MPSoCs: Multi-Processor Systems on Chip A simulation platform for a MPSoC

More information

Interconnection Networks

Interconnection Networks CMPT765/408 08-1 Interconnection Networks Qianping Gu 1 Interconnection Networks The note is mainly based on Chapters 1, 2, and 4 of Interconnection Networks, An Engineering Approach by J. Duato, S. Yalamanchili,

More information

From Bus and Crossbar to Network-On-Chip. Arteris S.A.

From Bus and Crossbar to Network-On-Chip. Arteris S.A. From Bus and Crossbar to Network-On-Chip Arteris S.A. Copyright 2009 Arteris S.A. All rights reserved. Contact information Corporate Headquarters Arteris, Inc. 1741 Technology Drive, Suite 250 San Jose,

More information

Load Balancing Mechanisms in Data Center Networks

Load Balancing Mechanisms in Data Center Networks Load Balancing Mechanisms in Data Center Networks Santosh Mahapatra Xin Yuan Department of Computer Science, Florida State University, Tallahassee, FL 33 {mahapatr,xyuan}@cs.fsu.edu Abstract We consider

More information

Quality of Service (QoS) for Asynchronous On-Chip Networks

Quality of Service (QoS) for Asynchronous On-Chip Networks Quality of Service (QoS) for synchronous On-Chip Networks Tomaz Felicijan and Steve Furber Department of Computer Science The University of Manchester Oxford Road, Manchester, M13 9PL, UK {felicijt,sfurber}@cs.man.ac.uk

More information

Multistage Interconnection Network for MPSoC: Performances study and prototyping on FPGA

Multistage Interconnection Network for MPSoC: Performances study and prototyping on FPGA Multistage Interconnection Network for MPSoC: Performances study and prototyping on FPGA B. Neji 1, Y. Aydi 2, R. Ben-atitallah 3,S. Meftaly 4, M. Abid 5, J-L. Dykeyser 6 1 CES, National engineering School

More information

An Event-Based Monitoring Service for Networks on Chip

An Event-Based Monitoring Service for Networks on Chip An Event-Based Monitoring Service for Networks on Chip CALIN CIORDAS and TWAN BASTEN Eindhoven University of Technology and ANDREI RĂDULESCU, KEES GOOSSENS, and JEF VAN MEERBERGEN Philips Research Networks

More information

Packetization and routing analysis of on-chip multiprocessor networks

Packetization and routing analysis of on-chip multiprocessor networks Journal of Systems Architecture 50 (2004) 81 104 www.elsevier.com/locate/sysarc Packetization and routing analysis of on-chip multiprocessor networks Terry Tao Ye a, *, Luca Benini b, Giovanni De Micheli

More information

Architecture of distributed network processors: specifics of application in information security systems

Architecture of distributed network processors: specifics of application in information security systems Architecture of distributed network processors: specifics of application in information security systems V.Zaborovsky, Politechnical University, Sait-Petersburg, Russia vlad@neva.ru 1. Introduction Modern

More information

Preserving Message Integrity in Dynamic Process Migration

Preserving Message Integrity in Dynamic Process Migration Preserving Message Integrity in Dynamic Process Migration E. Heymann, F. Tinetti, E. Luque Universidad Autónoma de Barcelona Departamento de Informática 8193 - Bellaterra, Barcelona, Spain e-mail: e.heymann@cc.uab.es

More information

Load balancing Static Load Balancing

Load balancing Static Load Balancing Chapter 7 Load Balancing and Termination Detection Load balancing used to distribute computations fairly across processors in order to obtain the highest possible execution speed. Termination detection

More information

Load Balancing & DFS Primitives for Efficient Multicore Applications

Load Balancing & DFS Primitives for Efficient Multicore Applications Load Balancing & DFS Primitives for Efficient Multicore Applications M. Grammatikakis, A. Papagrigoriou, P. Petrakis, G. Kornaros, I. Christophorakis TEI of Crete This work is implemented through the Operational

More information

Interconnection Network Design

Interconnection Network Design Interconnection Network Design Vida Vukašinović 1 Introduction Parallel computer networks are interesting topic, but they are also difficult to understand in an overall sense. The topological structure

More information

Load Balancing and Termination Detection

Load Balancing and Termination Detection Chapter 7 Load Balancing and Termination Detection 1 Load balancing used to distribute computations fairly across processors in order to obtain the highest possible execution speed. Termination detection

More information

Scaling 10Gb/s Clustering at Wire-Speed

Scaling 10Gb/s Clustering at Wire-Speed Scaling 10Gb/s Clustering at Wire-Speed InfiniBand offers cost-effective wire-speed scaling with deterministic performance Mellanox Technologies Inc. 2900 Stender Way, Santa Clara, CA 95054 Tel: 408-970-3400

More information

OpenSPARC T1 Processor

OpenSPARC T1 Processor OpenSPARC T1 Processor The OpenSPARC T1 processor is the first chip multiprocessor that fully implements the Sun Throughput Computing Initiative. Each of the eight SPARC processor cores has full hardware

More information

Grid Computing Approach for Dynamic Load Balancing

Grid Computing Approach for Dynamic Load Balancing International Journal of Computer Sciences and Engineering Open Access Review Paper Volume-4, Issue-1 E-ISSN: 2347-2693 Grid Computing Approach for Dynamic Load Balancing Kapil B. Morey 1*, Sachin B. Jadhav

More information

Quality of Service using Traffic Engineering over MPLS: An Analysis. Praveen Bhaniramka, Wei Sun, Raj Jain

Quality of Service using Traffic Engineering over MPLS: An Analysis. Praveen Bhaniramka, Wei Sun, Raj Jain Praveen Bhaniramka, Wei Sun, Raj Jain Department of Computer and Information Science The Ohio State University 201 Neil Ave, DL39 Columbus, OH 43210 USA Telephone Number: +1 614-292-3989 FAX number: +1

More information

Peak Power Control for a QoS Capable On-Chip Network

Peak Power Control for a QoS Capable On-Chip Network Peak Power Control for a QoS Capable On-Chip Network Yuho Jin 1, Eun Jung Kim 1, Ki Hwan Yum 2 1 Texas A&M University 2 University of Texas at San Antonio {yuho,ejkim}@cs.tamu.edu yum@cs.utsa.edu Abstract

More information

EFFECTS OF NoC ARCHITECTURAL PARAMETERS IN MPSoC PERFORMANCE

EFFECTS OF NoC ARCHITECTURAL PARAMETERS IN MPSoC PERFORMANCE Pontifícia Universidade Católica do Rio Grande do Sul Faculdade de Engenharia / Faculdade de Informática Engenharia de Computação EFFECTS OF NoC ARCHITECTURAL PARAMETERS IN MPSoC PERFORMANCE Douglas Roberto

More information

Smart Queue Scheduling for QoS Spring 2001 Final Report

Smart Queue Scheduling for QoS Spring 2001 Final Report ENSC 833-3: NETWORK PROTOCOLS AND PERFORMANCE CMPT 885-3: SPECIAL TOPICS: HIGH-PERFORMANCE NETWORKS Smart Queue Scheduling for QoS Spring 2001 Final Report By Haijing Fang(hfanga@sfu.ca) & Liu Tang(llt@sfu.ca)

More information

VOICE OVER IP AND NETWORK CONVERGENCE

VOICE OVER IP AND NETWORK CONVERGENCE POZNAN UNIVE RSITY OF TE CHNOLOGY ACADE MIC JOURNALS No 80 Electrical Engineering 2014 Assaid O. SHAROUN* VOICE OVER IP AND NETWORK CONVERGENCE As the IP network was primarily designed to carry data, it

More information

Real-time Processor Interconnection Network for FPGA-based Multiprocessor System-on-Chip (MPSoC)

Real-time Processor Interconnection Network for FPGA-based Multiprocessor System-on-Chip (MPSoC) Real-time Processor Interconnection Network for FPGA-based Multiprocessor System-on-Chip (MPSoC) Stefan Aust, Harald Richter Department of Computer Science Clausthal University of Technology Julius-Albert-Str.

More information

Next Generation High Speed Computing Using System-on-Chip (SoC) Technology

Next Generation High Speed Computing Using System-on-Chip (SoC) Technology Next Generation High Speed Computing Using System-on-Chip (SoC) Technology Qurat-ul-Ain Malik 1 and M. Aqeel Iqbal 2 Department of Software Engineering Faculty of Engineering & IT, FUIEMS, Rawalpindi (46000),

More information

Fault-Tolerant Routing Algorithm for BSN-Hypercube Using Unsafety Vectors

Fault-Tolerant Routing Algorithm for BSN-Hypercube Using Unsafety Vectors Journal of omputational Information Systems 7:2 (2011) 623-630 Available at http://www.jofcis.com Fault-Tolerant Routing Algorithm for BSN-Hypercube Using Unsafety Vectors Wenhong WEI 1,, Yong LI 2 1 School

More information

Performance of networks containing both MaxNet and SumNet links

Performance of networks containing both MaxNet and SumNet links Performance of networks containing both MaxNet and SumNet links Lachlan L. H. Andrew and Bartek P. Wydrowski Abstract Both MaxNet and SumNet are distributed congestion control architectures suitable for

More information

On-Chip Communications Network Report

On-Chip Communications Network Report On-Chip Communications Network Report ABSTRACT This report covers the results of an independent, blind worldwide survey covering on-chip communications networks (OCCN), defined as is the entire interconnect

More information

A Low-Latency Asynchronous Interconnection Network with Early Arbitration Resolution

A Low-Latency Asynchronous Interconnection Network with Early Arbitration Resolution A Low-Latency Asynchronous Interconnection Network with arly Arbitration Resolution Georgios Faldamis Cavium Inc. Gennette Gill D.. Shaw Research Weiwei Jiang Dept. of Computer Science Columbia University

More information

Performance Evaluation of Multi-Core Multi-Cluster Architecture (MCMCA)

Performance Evaluation of Multi-Core Multi-Cluster Architecture (MCMCA) Performance Evaluation of Multi-Core Multi-Cluster Architecture (MCMCA) Norhazlina Hamid, Robert J. Walters and Gary B. Wills School of Electronics & Computer Science, University of Southampton, SO17 1BJ,

More information

Design and Verification of Nine port Network Router

Design and Verification of Nine port Network Router Design and Verification of Nine port Network Router G. Sri Lakshmi 1, A Ganga Mani 2 1 Assistant Professor, Department of Electronics and Communication Engineering, Pragathi Engineering College, Andhra

More information

QoS issues in Voice over IP

QoS issues in Voice over IP COMP9333 Advance Computer Networks Mini Conference QoS issues in Voice over IP Student ID: 3058224 Student ID: 3043237 Student ID: 3036281 Student ID: 3025715 QoS issues in Voice over IP Abstract: This

More information

How To Balance A Web Server With Remaining Capacity

How To Balance A Web Server With Remaining Capacity Remaining Capacity Based Load Balancing Architecture for Heterogeneous Web Server System Tsang-Long Pao Dept. Computer Science and Engineering Tatung University Taipei, ROC Jian-Bo Chen Dept. Computer

More information

Quality-of-service and error control techniques for mesh-based network-on-chip architectures

Quality-of-service and error control techniques for mesh-based network-on-chip architectures INTEGRATION, the VLSI journal 38 (25) 353 382 www.elsevier.com/locate/vlsi Quality-of-service and error control techniques for mesh-based network-on-chip architectures Praveen Vellanki, Nilanjan Banerjee,

More information

ssumathy@vit.ac.in upendra_mcs2@yahoo.com

ssumathy@vit.ac.in upendra_mcs2@yahoo.com S. Sumathy 1 and B.Upendra Kumar 2 1 School of Computing Sciences, VIT University, Vellore-632 014, Tamilnadu, India ssumathy@vit.ac.in 2 School of Computing Sciences, VIT University, Vellore-632 014,

More information

Cray Gemini Interconnect. Technical University of Munich Parallel Programming Class of SS14 Denys Sobchyshak

Cray Gemini Interconnect. Technical University of Munich Parallel Programming Class of SS14 Denys Sobchyshak Cray Gemini Interconnect Technical University of Munich Parallel Programming Class of SS14 Denys Sobchyshak Outline 1. Introduction 2. Overview 3. Architecture 4. Gemini Blocks 5. FMA & BTA 6. Fault tolerance

More information

A NOVEL RESOURCE EFFICIENT DMMS APPROACH

A NOVEL RESOURCE EFFICIENT DMMS APPROACH A NOVEL RESOURCE EFFICIENT DMMS APPROACH FOR NETWORK MONITORING AND CONTROLLING FUNCTIONS Golam R. Khan 1, Sharmistha Khan 2, Dhadesugoor R. Vaman 3, and Suxia Cui 4 Department of Electrical and Computer

More information

CTC: an End-To-End Flow Control Protocol for Multi-Core Systems-on-Chip

CTC: an End-To-End Flow Control Protocol for Multi-Core Systems-on-Chip : an End-To-End Flow Control Protocol for Multi-Core Systems-on-Chip Nicola Concer, Luciano Bononi Dipartimento di Scienze dell Informazione Università di Bologna {concer,bononi}@cs.unibo.it Luca P. Carloni

More information

Efficient DNS based Load Balancing for Bursty Web Application Traffic

Efficient DNS based Load Balancing for Bursty Web Application Traffic ISSN Volume 1, No.1, September October 2012 International Journal of Science the and Internet. Applied However, Information this trend leads Technology to sudden burst of Available Online at http://warse.org/pdfs/ijmcis01112012.pdf

More information

Adaptive DCF of MAC for VoIP services using IEEE 802.11 networks

Adaptive DCF of MAC for VoIP services using IEEE 802.11 networks Adaptive DCF of MAC for VoIP services using IEEE 802.11 networks 1 Mr. Praveen S Patil, 2 Mr. Rabinarayan Panda, 3 Mr. Sunil Kumar R D 1,2,3 Asst. Professor, Department of MCA, The Oxford College of Engineering,

More information

AN OVERVIEW OF QUALITY OF SERVICE COMPUTER NETWORK

AN OVERVIEW OF QUALITY OF SERVICE COMPUTER NETWORK Abstract AN OVERVIEW OF QUALITY OF SERVICE COMPUTER NETWORK Mrs. Amandeep Kaur, Assistant Professor, Department of Computer Application, Apeejay Institute of Management, Ramamandi, Jalandhar-144001, Punjab,

More information

TCP Servers: Offloading TCP Processing in Internet Servers. Design, Implementation, and Performance

TCP Servers: Offloading TCP Processing in Internet Servers. Design, Implementation, and Performance TCP Servers: Offloading TCP Processing in Internet Servers. Design, Implementation, and Performance M. Rangarajan, A. Bohra, K. Banerjee, E.V. Carrera, R. Bianchini, L. Iftode, W. Zwaenepoel. Presented

More information

DESIGN AND VERIFICATION OF LSR OF THE MPLS NETWORK USING VHDL

DESIGN AND VERIFICATION OF LSR OF THE MPLS NETWORK USING VHDL IJVD: 3(1), 2012, pp. 15-20 DESIGN AND VERIFICATION OF LSR OF THE MPLS NETWORK USING VHDL Suvarna A. Jadhav 1 and U.L. Bombale 2 1,2 Department of Technology Shivaji university, Kolhapur, 1 E-mail: suvarna_jadhav@rediffmail.com

More information

TDT 4260 lecture 11 spring semester 2013. Interconnection network continued

TDT 4260 lecture 11 spring semester 2013. Interconnection network continued 1 TDT 4260 lecture 11 spring semester 2013 Lasse Natvig, The CARD group Dept. of computer & information science NTNU 2 Lecture overview Interconnection network continued Routing Switch microarchitecture

More information

APPENDIX 1 USER LEVEL IMPLEMENTATION OF PPATPAN IN LINUX SYSTEM

APPENDIX 1 USER LEVEL IMPLEMENTATION OF PPATPAN IN LINUX SYSTEM 152 APPENDIX 1 USER LEVEL IMPLEMENTATION OF PPATPAN IN LINUX SYSTEM A1.1 INTRODUCTION PPATPAN is implemented in a test bed with five Linux system arranged in a multihop topology. The system is implemented

More information

A Generic Network Interface Architecture for a Networked Processor Array (NePA)

A Generic Network Interface Architecture for a Networked Processor Array (NePA) A Generic Network Interface Architecture for a Networked Processor Array (NePA) Seung Eun Lee, Jun Ho Bahn, Yoon Seok Yang, and Nader Bagherzadeh EECS @ University of California, Irvine Outline Introduction

More information

A Monitoring-Aware Network-on-Chip Design Flow

A Monitoring-Aware Network-on-Chip Design Flow A Monitoring-Aware Network-on-Chip Design Flow Calin Ciordas a Andreas Hansson a Kees Goossens b Twan Basten a a Eindhoven University of Technology, {c.ciordas,m.a.hansson,a.a.basten}@tue.nl b Philips

More information

Protagonist International Journal of Management And Technology (PIJMT) Online ISSN- 2394-3742. Vol 2 No 3 (May-2015) Active Queue Management

Protagonist International Journal of Management And Technology (PIJMT) Online ISSN- 2394-3742. Vol 2 No 3 (May-2015) Active Queue Management Protagonist International Journal of Management And Technology (PIJMT) Online ISSN- 2394-3742 Vol 2 No 3 (May-2015) Active Queue Management For Transmission Congestion control Manu Yadav M.Tech Student

More information

AN EFFICIENT DISTRIBUTED CONTROL LAW FOR LOAD BALANCING IN CONTENT DELIVERY NETWORKS

AN EFFICIENT DISTRIBUTED CONTROL LAW FOR LOAD BALANCING IN CONTENT DELIVERY NETWORKS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 9, September 2014,

More information

Load Balancing and Termination Detection

Load Balancing and Termination Detection Chapter 7 slides7-1 Load Balancing and Termination Detection slides7-2 Load balancing used to distribute computations fairly across processors in order to obtain the highest possible execution speed. Termination

More information

Distributed Elastic Switch Architecture for efficient Networks-on-FPGAs

Distributed Elastic Switch Architecture for efficient Networks-on-FPGAs Distributed Elastic Switch Architecture for efficient Networks-on-FPGAs Antoni Roca, Jose Flich Parallel Architectures Group Universitat Politechnica de Valencia (UPV) Valencia, Spain Giorgos Dimitrakopoulos

More information

Research on Video Traffic Control Technology Based on SDN. Ziyan Lin

Research on Video Traffic Control Technology Based on SDN. Ziyan Lin Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) Research on Video Traffic Control Technology Based on SDN Ziyan Lin Communication University of China, Beijing

More information

Design of Networks-on-Chip for Real-Time Multi-Processor Systems-on-Chip

Design of Networks-on-Chip for Real-Time Multi-Processor Systems-on-Chip Design of Networks-on-Chip for eal-time Multi-Processor Systems-on-Chip Jens Sparsø Department of Informatics and Mathematical Modelling Technical University of Denmark Email: jsp@imm.dtu.dk Abstract This

More information

Advanced Task Scheduling for Cloud Service Provider Using Genetic Algorithm

Advanced Task Scheduling for Cloud Service Provider Using Genetic Algorithm IOSR Journal of Engineering (IOSRJEN) ISSN: 2250-3021 Volume 2, Issue 7(July 2012), PP 141-147 Advanced Task Scheduling for Cloud Service Provider Using Genetic Algorithm 1 Sourav Banerjee, 2 Mainak Adhikari,

More information

Configuring a Load-Balancing Scheme

Configuring a Load-Balancing Scheme Configuring a Load-Balancing Scheme Finding Feature Information Configuring a Load-Balancing Scheme Last Updated: August 15, 2011 This module contains information about Cisco Express Forwarding and describes

More information

Network management and QoS provisioning - QoS in the Internet

Network management and QoS provisioning - QoS in the Internet QoS in the Internet Inernet approach is based on datagram service (best effort), so provide QoS was not a purpose for developers. Mainly problems are:. recognizing flows;. manage the issue that packets

More information

How To Understand The Concept Of Circuit Switching

How To Understand The Concept Of Circuit Switching Module 2 Communication Switching Lesson 2 Circuit Switching INSTRUCTIONAL OBJECTIVES GENERAL This lesson is aimed at developing the concept and application of circuit switching which is a very important

More information