COMPARISON OF COMPACTION TECHNIQUES IN VLSI PHYSICAL DESIGN. (M.Tech-VLSI Department, JSS Academy of Technical Education, Noida)

Size: px
Start display at page:

Download "COMPARISON OF COMPACTION TECHNIQUES IN VLSI PHYSICAL DESIGN. (M.Tech-VLSI Department, JSS Academy of Technical Education, Noida)"

Transcription

1 COMPARISON OF COMPACTION TECHNIQUES IN VLSI PHYSICAL DESIGN Chetan Sharma & Shobhit Jaiswal 2 (M.Tech-VLSI Department, JSS Academy of Technical Education, Noida) ( chetan202@gmail.com ) & 2 ( jaiswalshobhit9@gmail.com ) Abstract: The rapid growth in integration technology has been made possible by the automation of various steps involved in the design and fabrication of VLSI chips. The main factors which decide the quality of any chip are power consumption, area and performance of the chip. The demand of light weighted & compact chip is increase day by day. This paper reviewed the different techniques of compaction of any chip and comparison among them because different techniques have different tradeoffs. The appropriate technique is used depending upon requirements. Keywords: CAD Tool, Layout design rules, Shadow propagation, Scanline, Flooring. Introduction: In the VLSI design cycle there are many steps: System specification, functional design, logic design, circuit design, physical design, design verification, fabrication, packaging, testing and debugging. The physical design is important step in the chip designing. The process of converting the specification of an electrical circuit into a layout is called the physical design process. Due to extremely small size of the individual components, physical design is an extremely tedious and error prone process. Almost all phases of physical design extensively use Computer Aided Design (CAD) tools, means steps are partially or fully automated. The main steps in VLSI physical design are partitioning, placement, routing and compaction. Compaction is very important step in the physical design due to the incereasively need of small size chips. The operation of layout area minimization without violating the design rules and without altering the original functionality of 60

2 layout is called as compaction. The input of compaction is layout and output is also layout but by minimizing area. Compaction is done by three ways: (a) By reducing space between blocks without violating design space rule.(b) By reducing size of each block without violating design size rule.(c) By reducing shape of blocks without violating electrical characteristics of blocks. Therefore compaction is very complex process because this process requires the knowledge of all design rules. Due to the use of strategies compaction algorithms are divided into one-dimensional algorithms (either in x-dimension or y-dimension), two dimensional algorithms (both in x- dimension and y-dimension) and topological algorithm (moving of separate cells according to routing constraints) Problem formulation: Given: Layout consists of set of geometrical Design style specific compaction problem: The scope and impact of compaction is different on different design styles: (a)full custom style: There are large scope of compaction in full custom design style because of randomly sizes of block and random space between blocks. (b) Standard cell design style: In this style height of blocks are fixed. Therefore scope is limited to channel compaction. (c) Gate array design style: In the gate array design style position of gate is fixed so scope is limited to optimizing wiring. Compaction Techniques: There are different compaction techniques as shown in fig (a) 3/2 -Dimensional feature M = {M, M 2, M 3,.M n ) Each M i has minimum size = s (M i ) Minimum space between M i & M j = d (M i, M j ) where <j, j<n Objective: The objective of compaction is to minimize layout area by moving feature close to each other and by resizing the feature such that: Size (Mi) s (M i ) Distance (M i, M j ) d (Mi,Mj) Compaction Techniques Hierarchical compaction - Dimensional 2- Dimensional Fig (a)- Types of compaction techniques Scan line Algorith m Shadow Path Propagation 6

3 -Dimensional compaction: In this technique compaction is done only in one dimension either in x-direction or y- direction until no further compaction is possible as discussed previous. There are two types of constraints which relates to these compaction techniques (i) Separation constraint (ii) Connectivity constraint. The techniques in -Dimensional compaction are described below: (a) Shadow propagation Technique: It is a widely used technique for compaction. The shadow is caused by shining of imaginary light from behind the feature under consideration. Now graph is formed by making two lists, one has the blocks which are covered by shadow and another one has not covered blocks by shadow of light is forwarding behind block no. then block no. 3,6,, is covered by shadow which are shown in fig (b) and the flow graph of shadow propagation is shown in fig(c) which is made by shaded blocks by shadow i.e. block no.3, 6,,. Interval generation of shadow propagation is shown in fig (d) Fig (b)- Shadow propagation method. 3 Fig (c)- Flow graph of shadow propagation Fig (d)- Interval generation for shadow propagation of fig (b) 62

4 (b) Scanline Technique: The scanline is an imaginary horizontal or vertical line that cuts through the layout in x or y compaction as shown below. The trade off in this technique is the much time consumption. Thus we use 3/2-D Compaction. 3/2-D Compaction: Fig (e)- Example of Scanline method In this method all the arranged blocks are cut by this scanline. The scanline traverses from the top to bottom of the layout for x- compaction. Similarly, for y-compaction the scanline traverses from the left to the right of the layout as shown in fig (e). 2-Dimensional compaction: In this method compaction is done in both dimension x-dimension as well as in y- dimension. 2-D compaction is in general much better than performing -D compaction. If 2-D compaction, solved optimally, produces minimum-area layouts. In this technique we move the blocks in such a way that it not only compact the circuit but also resolve interferences. Since the geometry is not as free as in 2-D Compaction. In this method two lists are formed one is ceiling another is floor. First is formed by the blocks which are appeared from the top & second is formed by the blocks which are appeared from the bottom. Selects the lowest block in the ceiling list and moves it to the place on the floor which maximizes the gap between floor and ceiling. The process is continued until all blocks are moved from ceiling to floor. Conclusion: This paper shows that how the compaction is useful in VLSI Design cycle and various techniques using in compaction and their tradeoffs. -Dimension method is quite simple but provides less compaction as compare to other method. 2- D Compaction provides more compaction form but require more time. So 3/2-D Compaction is mostly used. 63

5 References: [] G. A. Allen et al. A yield improvement technique for IC layout using local design rules, IEEE Transactions on Computer Aided Design, vol., no., pp.3-360, Nov [2] C.Bamji and E. Malavasi, Enhancement network flow algorithm for yield optimization, in Proc. IEEE/ACM DAC, pp. 76-7, 996. [3] V. K. R. Chiluvuri and I. Koren, Layoutsynthesis techniques for yield enhancement IEEE Transactions on Semiconductors and manufacturing, vol. 8:2 pp , May 99. [] I. Koren and H. C. Stapper, Yield models for defect tolerant VLSI circuits: A review, in Defect and Fault tolerance on VLSI Systems, vol., I. Koren Ed. New-York: Plenum, pp.- 2, 989. [] S. L. Liu and J. Allen, Minplex: A compactor that minimizes the bounding rectangles and individual rectangles in a layout, in Proc. IEEE/ACM DAC, pp , 986. [6] J. K. Ousterhout, Corner stitching: A datastructuring technique for VLSI layout tools, IEEE Transactions on Computer Aided-Design of integrated Circuits and Systems, vol. CAD-3, no., pp , January 98. [7] S. Sastry and A. Parker, The complexity of two dimensional compaction of VLSI layouts, in Proc. Int. Conf. on Circuits and Computers, pp , 982. [8] H. Xue, C. N. Di, and J. A. Jess, Fast multi-layer critical area computation, in Proc. of IEEE Int. Workshop on Defect and Fault tolerance on VLSI Systems. Oct [9] H. Xue, C. N. Di, and J. A. Jess, A netoriented method for realistic fault analysis, in Proc. IEEE Int. Conf. On Computer Aided-Design, pp.78-8, Nov

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng Architectural Level Power Consumption of Network Presenter: YUAN Zheng Why Architectural Low Power Design? High-speed and large volume communication among different parts on a chip Problem: Power consumption

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication Total Management from Rule Definition to Silicon Fabrication Soichi Inoue, Toshiya Kotani, Shigeki Nojima, Satoshi Tanaka, Kohji Hashimoto, and Ichiro Mori & Manufacturing Engineering Center, Toshiba Corporation,

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton Dept. of Electrical and Computer Engineering University of British Columbia bradq@ece.ubc.ca

More information

CAD TOOLS FOR VLSI. FLOORPLANNING Page 1 FLOORPLANNING

CAD TOOLS FOR VLSI. FLOORPLANNING Page 1 FLOORPLANNING FLOORPLANNING Page 1 FLOORPLANNING Floorplanning: taking layout information into account at early stages of the design process. BEHAVIORAL D. STRUCTURAL D. Systems Algorithms Processors Register transfers

More information

CHAPTER 1. Introduction to CAD/CAM/CAE Systems

CHAPTER 1. Introduction to CAD/CAM/CAE Systems CHAPTER 1 1.1 OVERVIEW Introduction to CAD/CAM/CAE Systems Today s industries cannot survive worldwide competition unless they introduce new products with better quality (quality, Q), at lower cost (cost,

More information

A RDT-Based Interconnection Network for Scalable Network-on-Chip Designs

A RDT-Based Interconnection Network for Scalable Network-on-Chip Designs A RDT-Based Interconnection Network for Scalable Network-on-Chip Designs ang u, Mei ang, ulu ang, and ingtao Jiang Dept. of Computer Science Nankai University Tianjing, 300071, China yuyang_79@yahoo.com.cn,

More information

Hyper Node Torus: A New Interconnection Network for High Speed Packet Processors

Hyper Node Torus: A New Interconnection Network for High Speed Packet Processors 2011 International Symposium on Computer Networks and Distributed Systems (CNDS), February 23-24, 2011 Hyper Node Torus: A New Interconnection Network for High Speed Packet Processors Atefeh Khosravi,

More information

A Tool for Generating Partition Schedules of Multiprocessor Systems

A Tool for Generating Partition Schedules of Multiprocessor Systems A Tool for Generating Partition Schedules of Multiprocessor Systems Hans-Joachim Goltz and Norbert Pieth Fraunhofer FIRST, Berlin, Germany {hans-joachim.goltz,nobert.pieth}@first.fraunhofer.de Abstract.

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 13.7 A 40Gb/s Clock and Data Recovery Circuit in 0.18µm CMOS Technology Jri Lee, Behzad Razavi University of California, Los Angeles, CA

More information

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source)

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source) FPGA IMPLEMENTATION OF 4D-PARITY BASED DATA CODING TECHNIQUE Vijay Tawar 1, Rajani Gupta 2 1 Student, KNPCST, Hoshangabad Road, Misrod, Bhopal, Pin no.462047 2 Head of Department (EC), KNPCST, Hoshangabad

More information

3D On-chip Data Center Networks Using Circuit Switches and Packet Switches

3D On-chip Data Center Networks Using Circuit Switches and Packet Switches 3D On-chip Data Center Networks Using Circuit Switches and Packet Switches Takahide Ikeda Yuichi Ohsita, and Masayuki Murata Graduate School of Information Science and Technology, Osaka University Osaka,

More information

ECE 533 Project Report Ashish Dhawan Aditi R. Ganesan

ECE 533 Project Report Ashish Dhawan Aditi R. Ganesan Handwritten Signature Verification ECE 533 Project Report by Ashish Dhawan Aditi R. Ganesan Contents 1. Abstract 3. 2. Introduction 4. 3. Approach 6. 4. Pre-processing 8. 5. Feature Extraction 9. 6. Verification

More information

Objective. Testing Principle. Types of Testing. Characterization Test. Verification Testing. VLSI Design Verification and Testing.

Objective. Testing Principle. Types of Testing. Characterization Test. Verification Testing. VLSI Design Verification and Testing. VLSI Design Verification and Testing Objective VLSI Testing Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut Need to understand Types of tests performed at different stages

More information

Direct and Reflected: Understanding the Truth with Y-S 3

Direct and Reflected: Understanding the Truth with Y-S 3 Direct and Reflected: Understanding the Truth with Y-S 3 -Speaker System Design Guide- December 2008 2008 Yamaha Corporation 1 Introduction Y-S 3 is a speaker system design software application. It is

More information

Automatic Detection of PCB Defects

Automatic Detection of PCB Defects IJIRST International Journal for Innovative Research in Science & Technology Volume 1 Issue 6 November 2014 ISSN (online): 2349-6010 Automatic Detection of PCB Defects Ashish Singh PG Student Vimal H.

More information

Optimal Network Discovery Period for Energy- Efficient WLAN Offloading

Optimal Network Discovery Period for Energy- Efficient WLAN Offloading Optimal Network Discovery Period for Energy- Efficient WLAN Offloading Dionysia Triantafyllopoulou, Tao Guo, and Klaus Moessner Centre for Communication Systems Research University of Surrey Guildford,

More information

VLSI Design Verification and Testing

VLSI Design Verification and Testing VLSI Design Verification and Testing Instructor Chintan Patel (Contact using email: cpatel2@cs.umbc.edu). Text Michael L. Bushnell and Vishwani D. Agrawal, Essentials of Electronic Testing, for Digital,

More information

Implementation of Multi-Bit flip-flop for Power Reduction in CMOS Technologies

Implementation of Multi-Bit flip-flop for Power Reduction in CMOS Technologies Implementation of Multi-Bit flip-flop for Power Reduction in CMOS Technologies K. Siva Prasad, G. Rajesh, V. Thrimurthulu Post Graduate student, Department of ECE, CREC, TIRUPATI, A.P., India Associate

More information

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN USING DIFFERENT FOUNDRIES Priyanka Sharma 1 and Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department

More information

Fast Sequential Summation Algorithms Using Augmented Data Structures

Fast Sequential Summation Algorithms Using Augmented Data Structures Fast Sequential Summation Algorithms Using Augmented Data Structures Vadim Stadnik vadim.stadnik@gmail.com Abstract This paper provides an introduction to the design of augmented data structures that offer

More information

PCB ROUTERS AND ROUTING METHODS

PCB ROUTERS AND ROUTING METHODS PCB ROUTERS AND ROUTING METHODS BY: LEE W. RITCHEY, SPEEDING EDGE, COPYRIGHT SPEEDING EDGE DECEMBER 1999 FOR PUBLICATION IN FEBRUARY ISSUE OF PC DESIGN MAGAZINE INTRODUCTION Routing of printed circuit

More information

3D SCANNING: A NEW APPROACH TOWARDS MODEL DEVELOPMENT IN ADVANCED MANUFACTURING SYSTEM

3D SCANNING: A NEW APPROACH TOWARDS MODEL DEVELOPMENT IN ADVANCED MANUFACTURING SYSTEM 3D SCANNING: A NEW APPROACH TOWARDS MODEL DEVELOPMENT IN ADVANCED MANUFACTURING SYSTEM Dr. Trikal Shivshankar 1, Patil Chinmay 2, Patokar Pradeep 3 Professor, Mechanical Engineering Department, SSGM Engineering

More information

Barcode Based Automated Parking Management System

Barcode Based Automated Parking Management System IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 03, 2014 ISSN (online): 2321-0613 Barcode Based Automated Parking Management System Parth Rajeshbhai Zalawadia 1 Jasmin

More information

Expanding the CASEsim Framework to Facilitate Load Balancing of Social Network Simulations

Expanding the CASEsim Framework to Facilitate Load Balancing of Social Network Simulations Expanding the CASEsim Framework to Facilitate Load Balancing of Social Network Simulations Amara Keller, Martin Kelly, Aaron Todd 4 June 2010 Abstract This research has two components, both involving the

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

QUALITY OF SERVICE METRICS FOR DATA TRANSMISSION IN MESH TOPOLOGIES

QUALITY OF SERVICE METRICS FOR DATA TRANSMISSION IN MESH TOPOLOGIES QUALITY OF SERVICE METRICS FOR DATA TRANSMISSION IN MESH TOPOLOGIES SWATHI NANDURI * ZAHOOR-UL-HUQ * Master of Technology, Associate Professor, G. Pulla Reddy Engineering College, G. Pulla Reddy Engineering

More information

Volumetric Meshes for Real Time Medical Simulations

Volumetric Meshes for Real Time Medical Simulations Volumetric Meshes for Real Time Medical Simulations Matthias Mueller and Matthias Teschner Computer Graphics Laboratory ETH Zurich, Switzerland muellerm@inf.ethz.ch, http://graphics.ethz.ch/ Abstract.

More information

Hardware Implementation of Improved Adaptive NoC Router with Flit Flow History based Load Balancing Selection Strategy

Hardware Implementation of Improved Adaptive NoC Router with Flit Flow History based Load Balancing Selection Strategy Hardware Implementation of Improved Adaptive NoC Rer with Flit Flow History based Load Balancing Selection Strategy Parag Parandkar 1, Sumant Katiyal 2, Geetesh Kwatra 3 1,3 Research Scholar, School of

More information

A Note on Maximum Independent Sets in Rectangle Intersection Graphs

A Note on Maximum Independent Sets in Rectangle Intersection Graphs A Note on Maximum Independent Sets in Rectangle Intersection Graphs Timothy M. Chan School of Computer Science University of Waterloo Waterloo, Ontario N2L 3G1, Canada tmchan@uwaterloo.ca September 12,

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

How SolidWorks Speeds Consumer Product Design

How SolidWorks Speeds Consumer Product Design white paper How SolidWorks Speeds Consumer Product Design inspiration SUMMARY SolidWorks Premium bridges the gap between industrial design and engineering by providing powerful surfacing capabilities,

More information

Solving Geometric Problems with the Rotating Calipers *

Solving Geometric Problems with the Rotating Calipers * Solving Geometric Problems with the Rotating Calipers * Godfried Toussaint School of Computer Science McGill University Montreal, Quebec, Canada ABSTRACT Shamos [1] recently showed that the diameter of

More information

Signal integrity in deep-sub-micron integrated circuits

Signal integrity in deep-sub-micron integrated circuits Signal integrity in deep-sub-micron integrated circuits Alessandro Bogliolo abogliolo@ing.unife.it Outline Introduction General signaling scheme Noise sources and effects in DSM ICs Supply noise Synchronization

More information

How To Fix Out Of Focus And Blur Images With A Dynamic Template Matching Algorithm

How To Fix Out Of Focus And Blur Images With A Dynamic Template Matching Algorithm IJSTE - International Journal of Science Technology & Engineering Volume 1 Issue 10 April 2015 ISSN (online): 2349-784X Image Estimation Algorithm for Out of Focus and Blur Images to Retrieve the Barcode

More information

A Simple Feature Extraction Technique of a Pattern By Hopfield Network

A Simple Feature Extraction Technique of a Pattern By Hopfield Network A Simple Feature Extraction Technique of a Pattern By Hopfield Network A.Nag!, S. Biswas *, D. Sarkar *, P.P. Sarkar *, B. Gupta **! Academy of Technology, Hoogly - 722 *USIC, University of Kalyani, Kalyani

More information

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing Design Verification and Test of Digital VLSI Circuits NPTEL Video Course Module-VII Lecture-I Introduction to Digital VLSI Testing VLSI Design, Verification and Test Flow Customer's Requirements Specifications

More information

Extend Table Lens for High-Dimensional Data Visualization and Classification Mining

Extend Table Lens for High-Dimensional Data Visualization and Classification Mining Extend Table Lens for High-Dimensional Data Visualization and Classification Mining CPSC 533c, Information Visualization Course Project, Term 2 2003 Fengdong Du fdu@cs.ubc.ca University of British Columbia

More information

Verification Experiment on Cooling and Deformation Effects of Automatically Designed Cooling Channels for Block Laminated Molds

Verification Experiment on Cooling and Deformation Effects of Automatically Designed Cooling Channels for Block Laminated Molds International Journal of Engineering and Advanced Technology (IJEAT ISSN: 2249 8958 Volume-4 Issue-5 June 2015 Verification Experiment on Cooling and Deformation Effects of Automatically Designed Cooling

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

SIMULATION OF CLOSED LOOP CONTROLLED BRIDGELESS PFC BOOST CONVERTER

SIMULATION OF CLOSED LOOP CONTROLLED BRIDGELESS PFC BOOST CONVERTER SIMULATION OF CLOSED LOOP CONTROLLED BRIDGELESS PFC BOOST CONVERTER 1M.Gopinath, 2S.Ramareddy Research Scholar, Bharath University, Chennai, India. Professor, Jerusalem college of Engg Chennai, India.

More information

Meeting Scheduling with Multi Agent Systems: Design and Implementation

Meeting Scheduling with Multi Agent Systems: Design and Implementation Proceedings of the 6th WSEAS Int. Conf. on Software Engineering, Parallel and Distributed Systems, Corfu Island, Greece, February 16-19, 2007 92 Meeting Scheduling with Multi Agent Systems: Design and

More information

CHARACTERIZING OF INFRASTRUCTURE BY KNOWLEDGE OF MOBILE HYBRID SYSTEM

CHARACTERIZING OF INFRASTRUCTURE BY KNOWLEDGE OF MOBILE HYBRID SYSTEM INTERNATIONAL JOURNAL OF ADVANCED RESEARCH IN ENGINEERING AND SCIENCE CHARACTERIZING OF INFRASTRUCTURE BY KNOWLEDGE OF MOBILE HYBRID SYSTEM Mohammad Badruzzama Khan 1, Ayesha Romana 2, Akheel Mohammed

More information

Be the best. PCBA Design Guidelines and DFM Requirements. Glenn Miner Engineering Manager March 6, 2014 DFM DFT. DFx DFC DFQ

Be the best. PCBA Design Guidelines and DFM Requirements. Glenn Miner Engineering Manager March 6, 2014 DFM DFT. DFx DFC DFQ and DFM Requirements DFM DFQ DFx DFT DFC Glenn Miner Engineering Manager Electronics, Inc. Not to be reproduced or used in any means without written permission by Benchmark. Guidelines and Requirements

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical delivers superior quality

More information

A SURVEY ON WORKFLOW SCHEDULING IN CLOUD USING ANT COLONY OPTIMIZATION

A SURVEY ON WORKFLOW SCHEDULING IN CLOUD USING ANT COLONY OPTIMIZATION Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 2, February 2014,

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING BARIS TASKIN, JOHN WOOD, IVAN S. KOURTEV February 28, 2005 Research Objective Objective: Electronic design automation

More information

Effect of Rack Server Population on Temperatures in Data Centers

Effect of Rack Server Population on Temperatures in Data Centers Effect of Rack Server Population on Temperatures in Data Centers Rajat Ghosh, Vikneshan Sundaralingam, Yogendra Joshi G.W. Woodruff School of Mechanical Engineering Georgia Institute of Technology, Atlanta,

More information

FACULTY OF POSTGRADUATESTUDIES Master of Science in Computer Engineering The Future University

FACULTY OF POSTGRADUATESTUDIES Master of Science in Computer Engineering The Future University FACULTY OF POSTGRADUATESTUDIES Master of Science in Computer Engineering The Future University 2 Table of Contents: Page I. Introduction 1 II. Philosophy of the Program 2 III. Aims of the Program 2 IV.

More information

Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs

Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs Ikchan Jang 1, Soyeon Joo 1, SoYoung Kim 1, Jintae Kim 2, 1 College of Information and Communication Engineering, Sungkyunkwan University,

More information

Random Map Generator v1.0 User s Guide

Random Map Generator v1.0 User s Guide Random Map Generator v1.0 User s Guide Jonathan Teutenberg 2003 1 Map Generation Overview...4 1.1 Command Line...4 1.2 Operation Flow...4 2 Map Initialisation...5 2.1 Initialisation Parameters...5 -w xxxxxxx...5

More information

Power Efficiency Metrics for Geographical Routing In Multihop Wireless Networks

Power Efficiency Metrics for Geographical Routing In Multihop Wireless Networks Power Efficiency Metrics for Geographical Routing In Multihop Wireless Networks Gowthami.A, Lavanya.R Abstract - A number of energy-aware routing protocols are proposed to provide the energy efficiency

More information

EECAD s MUST List MUST MUST MUST MUST MUST MUST MUST MUST MUST MUST

EECAD s MUST List MUST MUST MUST MUST MUST MUST MUST MUST MUST MUST Customers are required to follow certain criteria for all designs whether they are ultimately done in EECAD or by the customers themselves. These criteria, approved by EES Management, are listed below:

More information

Colour Image Encryption and Decryption by using Scan Approach

Colour Image Encryption and Decryption by using Scan Approach Colour Image Encryption and Decryption by using Scan Approach, Rinkee Gupta,Master of Engineering Scholar, Email: guptarinki.14@gmail.com Jaipal Bisht, Asst. Professor Radharaman Institute Of Technology

More information

Largest Fixed-Aspect, Axis-Aligned Rectangle

Largest Fixed-Aspect, Axis-Aligned Rectangle Largest Fixed-Aspect, Axis-Aligned Rectangle David Eberly Geometric Tools, LLC http://www.geometrictools.com/ Copyright c 1998-2016. All Rights Reserved. Created: February 21, 2004 Last Modified: February

More information

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i Layout and Cross-section of an inverter Lecture 5 A Layout Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London V DD Q p A V i V o URL: www.ee.ic.ac.uk/pcheung/

More information

A Review And Evaluations Of Shortest Path Algorithms

A Review And Evaluations Of Shortest Path Algorithms A Review And Evaluations Of Shortest Path Algorithms Kairanbay Magzhan, Hajar Mat Jani Abstract: Nowadays, in computer networks, the routing is based on the shortest path problem. This will help in minimizing

More information

DYNAMIC DOMAIN CLASSIFICATION FOR FRACTAL IMAGE COMPRESSION

DYNAMIC DOMAIN CLASSIFICATION FOR FRACTAL IMAGE COMPRESSION DYNAMIC DOMAIN CLASSIFICATION FOR FRACTAL IMAGE COMPRESSION K. Revathy 1 & M. Jayamohan 2 Department of Computer Science, University of Kerala, Thiruvananthapuram, Kerala, India 1 revathysrp@gmail.com

More information

IRMA: Integrated Routing and MAC Scheduling in Multihop Wireless Mesh Networks

IRMA: Integrated Routing and MAC Scheduling in Multihop Wireless Mesh Networks IRMA: Integrated Routing and MAC Scheduling in Multihop Wireless Mesh Networks Zhibin Wu, Sachin Ganu and Dipankar Raychaudhuri WINLAB, Rutgers University 2006-11-16 IAB Research Review, Fall 2006 1 Contents

More information

A method of generating free-route walk-through animation using vehicle-borne video image

A method of generating free-route walk-through animation using vehicle-borne video image A method of generating free-route walk-through animation using vehicle-borne video image Jun KUMAGAI* Ryosuke SHIBASAKI* *Graduate School of Frontier Sciences, Shibasaki lab. University of Tokyo 4-6-1

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

CONTROL SYSTEMS, ROBOTICS, AND AUTOMATION - Vol. XIX - Automation and Control in Electronic Industries - Popovic D.

CONTROL SYSTEMS, ROBOTICS, AND AUTOMATION - Vol. XIX - Automation and Control in Electronic Industries - Popovic D. AUTOMATION AND CONTROL IN ELECTRONIC INDUSTRIES University of Bremen, Germany Keywords: design automation, computer-aided design, rapid prototyping, semiconductors production, process monitoring and control,

More information

A Dynamic Link Allocation Router

A Dynamic Link Allocation Router A Dynamic Link Allocation Router Wei Song and Doug Edwards School of Computer Science, the University of Manchester Oxford Road, Manchester M13 9PL, UK {songw, doug}@cs.man.ac.uk Abstract The connection

More information

FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL

FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL B. Dilip, Y. Alekhya, P. Divya Bharathi Abstract Traffic lights are the signaling devices used to manage traffic on multi-way

More information

Wireless Sensor Network: Challenges, Issues and Research

Wireless Sensor Network: Challenges, Issues and Research ISBN 978-93-84468-20-0 Proceedings of 2015 International Conference on Future Computational Technologies (ICFCT'2015) Singapore, March 29-30, 2015, pp. 224-228 Wireless Sensor Network: Challenges, Issues

More information

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc. Failure Analysis System for Submicron Semiconductor Devices 68 Failure Analysis System for Submicron Semiconductor Devices Munetoshi Fukui Yasuhiro Mitsui, Ph. D. Yasuhiko Nara Fumiko Yano, Ph. D. Takashi

More information

The Theory of Concept Analysis and Customer Relationship Mining

The Theory of Concept Analysis and Customer Relationship Mining The Application of Association Rule Mining in CRM Based on Formal Concept Analysis HongSheng Xu * and Lan Wang College of Information Technology, Luoyang Normal University, Luoyang, 471022, China xhs_ls@sina.com

More information

A Method of Caption Detection in News Video

A Method of Caption Detection in News Video 3rd International Conference on Multimedia Technology(ICMT 3) A Method of Caption Detection in News Video He HUANG, Ping SHI Abstract. News video is one of the most important media for people to get information.

More information

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Issue-1 E-ISSN: 2347-2693 A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology Zahra

More information

Study on Optimization of Mobile Data Visualization Algorithm Wenwen Liu 1,a

Study on Optimization of Mobile Data Visualization Algorithm Wenwen Liu 1,a 2nd International Conference on Electrical, Computer Engineering and Electronics (ICECEE 2015) Study on Optimization of Mobile Data Visualization Algorithm Wenwen Liu 1,a 1 School of Computer Science and

More information

ARTIFICIAL INTELLIGENCE METHODS IN EARLY MANUFACTURING TIME ESTIMATION

ARTIFICIAL INTELLIGENCE METHODS IN EARLY MANUFACTURING TIME ESTIMATION 1 ARTIFICIAL INTELLIGENCE METHODS IN EARLY MANUFACTURING TIME ESTIMATION B. Mikó PhD, Z-Form Tool Manufacturing and Application Ltd H-1082. Budapest, Asztalos S. u 4. Tel: (1) 477 1016, e-mail: miko@manuf.bme.hu

More information

High Speed and Efficient 4-Tap FIR Filter Design Using Modified ETA and Multipliers

High Speed and Efficient 4-Tap FIR Filter Design Using Modified ETA and Multipliers High Speed and Efficient 4-Tap FIR Filter Design Using Modified ETA and Multipliers Mehta Shantanu Sheetal #1, Vigneswaran T. #2 # School of Electronics Engineering, VIT University Chennai, Tamil Nadu,

More information

Complex Network Visualization based on Voronoi Diagram and Smoothed-particle Hydrodynamics

Complex Network Visualization based on Voronoi Diagram and Smoothed-particle Hydrodynamics Complex Network Visualization based on Voronoi Diagram and Smoothed-particle Hydrodynamics Zhao Wenbin 1, Zhao Zhengxu 2 1 School of Instrument Science and Engineering, Southeast University, Nanjing, Jiangsu

More information

Optimization and Comparison of 4-Stage Inverter, 2-i/p NAND Gate, 2-i/p NOR Gate Driving Standard Load By Using Logical Effort

Optimization and Comparison of 4-Stage Inverter, 2-i/p NAND Gate, 2-i/p NOR Gate Driving Standard Load By Using Logical Effort Optimization and Comparison of -Stage, -i/p NND Gate, -i/p NOR Gate Driving Standard Load By Using Logical Effort Satyajit nand *, and P.K.Ghosh ** * Mody Institute of Technology & Science/ECE, Lakshmangarh,

More information

APPLICATIONS AND RESEARCH ON GIS FOR THE REAL ESTATE

APPLICATIONS AND RESEARCH ON GIS FOR THE REAL ESTATE APPLICATIONS AND RESEARCH ON GIS FOR THE REAL ESTATE Chengda Lin, Lingkui Meng, Heping Pan School of Remote Sensing Information Engineering Wuhan University, 129 Luoyu Road, Wuhan 430079, China Tel: (86-27)-8740-4336

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

International Journal of Electronics and Computer Science Engineering 1482

International Journal of Electronics and Computer Science Engineering 1482 International Journal of Electronics and Computer Science Engineering 1482 Available Online at www.ijecse.org ISSN- 2277-1956 Behavioral Analysis of Different ALU Architectures G.V.V.S.R.Krishna Assistant

More information

Firewall Verification and Redundancy Checking are Equivalent

Firewall Verification and Redundancy Checking are Equivalent Firewall Verification and Redundancy Checking are Equivalent H. B. Acharya University of Texas at Austin acharya@cs.utexas.edu M. G. Gouda National Science Foundation University of Texas at Austin mgouda@nsf.gov

More information

The Advantages of Adaptive and Disributed Systems

The Advantages of Adaptive and Disributed Systems Toward Certification of Adaptive Distributed Systems John M. Slaby, Lonnie R. Welch, Paul R. Work OMG's Workshop on Distributed Object Computing for Real-time and Embedded Systems July 10-13, 2006 - Arlington,

More information

Basic Principles & Common Practices

Basic Principles & Common Practices DETAILING STAIRS: Basic Principles & Common Practices Figure 1: Stair Terminology By Andrew J. Bellerby This article is designed to provide an introduction to detailing stairs. It explains the basic principles

More information

Interconnection Networks. Interconnection Networks. Interconnection networks are used everywhere!

Interconnection Networks. Interconnection Networks. Interconnection networks are used everywhere! Interconnection Networks Interconnection Networks Interconnection networks are used everywhere! Supercomputers connecting the processors Routers connecting the ports can consider a router as a parallel

More information

International Journal of Advanced Research in Computer Science and Software Engineering

International Journal of Advanced Research in Computer Science and Software Engineering Volume 2, Issue 9, September 2012 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com An Experimental

More information

TABLE OF CONTENTS. INTRODUCTION... 5 Advance Concrete... 5 Where to find information?... 6 INSTALLATION... 7 STARTING ADVANCE CONCRETE...

TABLE OF CONTENTS. INTRODUCTION... 5 Advance Concrete... 5 Where to find information?... 6 INSTALLATION... 7 STARTING ADVANCE CONCRETE... Starting Guide TABLE OF CONTENTS INTRODUCTION... 5 Advance Concrete... 5 Where to find information?... 6 INSTALLATION... 7 STARTING ADVANCE CONCRETE... 7 ADVANCE CONCRETE USER INTERFACE... 7 Other important

More information

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models System Development Models and Methods Dipl.-Inf. Mirko Caspar Version: 10.02.L.r-1.0-100929 Contents HW/SW Codesign Process Design Abstraction and Views Synthesis Control/Data-Flow Models System Synthesis

More information

YOKING OBJECT ORIENTED METRICS THROUGH MUTATION TESTING FOR MINIMIZING TIME PERIOD RAMIFICATION

YOKING OBJECT ORIENTED METRICS THROUGH MUTATION TESTING FOR MINIMIZING TIME PERIOD RAMIFICATION YOKING OBJECT ORIENTED METRICS THROUGH MUTATION TESTING FOR MINIMIZING TIME PERIOD RAMIFICATION 1 Chandu P.M.S.S., 2 Dr.T.Sasikala 1. Research Scholar, Department of CSE, Sathyabama University, Chennai,

More information

Clock Distribution Networks in Synchronous Digital Integrated Circuits

Clock Distribution Networks in Synchronous Digital Integrated Circuits Clock Distribution Networks in Synchronous Digital Integrated Circuits EBY G. FRIEDMAN Invited Paper Clock distribution networks synchronize the flow of data signals among synchronous data paths. The design

More information

AN EFFICIENT ALGORITHM FOR WRAPPER AND TAM CO-OPTIMIZATION TO REDUCE TEST APPLICATION TIME IN CORE BASED SOC

AN EFFICIENT ALGORITHM FOR WRAPPER AND TAM CO-OPTIMIZATION TO REDUCE TEST APPLICATION TIME IN CORE BASED SOC International Journal of Electronics and Communication Engineering & Technology (IJECET) Volume 7, Issue 2, March-April 2016, pp. 09-17, Article ID: IJECET_07_02_002 Available online at http://www.iaeme.com/ijecet/issues.asp?jtype=ijecet&vtype=7&itype=2

More information

Accessing Private Network via Firewall Based On Preset Threshold Value

Accessing Private Network via Firewall Based On Preset Threshold Value IOSR Journal of Computer Engineering (IOSR-JCE) e-issn: 2278-0661, p- ISSN: 2278-8727Volume 16, Issue 3, Ver. V (May-Jun. 2014), PP 55-60 Accessing Private Network via Firewall Based On Preset Threshold

More information

PCB Artist Tutorial:

PCB Artist Tutorial: Derek Brower browerde@msu.edu Capstone Design Team 6 PCB Artist Tutorial: Printed Circuit Board Design Basics N o v e m b e r 1 4, 2 0 1 2 P C B B a s i c s P a g e 1 Abstract PCB Artist is a schematic

More information

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Basic Properties of a Digital Design These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Which of these criteria is important

More information

CHAPTER 3 Boolean Algebra and Digital Logic

CHAPTER 3 Boolean Algebra and Digital Logic CHAPTER 3 Boolean Algebra and Digital Logic 3.1 Introduction 121 3.2 Boolean Algebra 122 3.2.1 Boolean Expressions 123 3.2.2 Boolean Identities 124 3.2.3 Simplification of Boolean Expressions 126 3.2.4

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

TWO-DIMENSIONAL FINITE ELEMENT ANALYSIS OF FORCED CONVECTION FLOW AND HEAT TRANSFER IN A LAMINAR CHANNEL FLOW

TWO-DIMENSIONAL FINITE ELEMENT ANALYSIS OF FORCED CONVECTION FLOW AND HEAT TRANSFER IN A LAMINAR CHANNEL FLOW TWO-DIMENSIONAL FINITE ELEMENT ANALYSIS OF FORCED CONVECTION FLOW AND HEAT TRANSFER IN A LAMINAR CHANNEL FLOW Rajesh Khatri 1, 1 M.Tech Scholar, Department of Mechanical Engineering, S.A.T.I., vidisha

More information

Arrangements And Duality

Arrangements And Duality Arrangements And Duality 3.1 Introduction 3 Point configurations are tbe most basic structure we study in computational geometry. But what about configurations of more complicated shapes? For example,

More information

INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET)

INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET) INTERNATIONAL JOURNAL OF COMPUTER ENGINEERING & TECHNOLOGY (IJCET) International Journal of Computer Engineering and Technology (IJCET), ISSN 0976 6367(Print), ISSN 0976 6367(Print) ISSN 0976 6375(Online)

More information

New Improvements in Optimal Rectangle Packing

New Improvements in Optimal Rectangle Packing New Improvements in Optimal Rectangle Packing Eric Huang and Richard E. Korf Computer Science Department University of California, Los Angeles Los Angeles, CA 90095 ehuang@cs.ucla.edu, korf@cs.ucla.edu

More information

The Economics of Cisco s nlight Multilayer Control Plane Architecture

The Economics of Cisco s nlight Multilayer Control Plane Architecture The Economics of Cisco s nlight Multilayer Control Plane Architecture Executive Summary Networks are becoming more difficult to plan and optimize because of high traffic growth, volatile traffic patterns,

More information

A Review on Efficient File Sharing in Clustered P2P System

A Review on Efficient File Sharing in Clustered P2P System A Review on Efficient File Sharing in Clustered P2P System Anju S Kumar 1, Ratheesh S 2, Manoj M 3 1 PG scholar, Dept. of Computer Science, College of Engineering Perumon, Kerala, India 2 Assisstant Professor,

More information