Atomic Layer Deposition on Biological Matter

Size: px
Start display at page:

Download "Atomic Layer Deposition on Biological Matter"

Transcription

1 Atomic Layer Deposition on Biological Matter Dissertation Zur Erlangung des akademischen Grades Doktor der Ingenieurwissenschaften der Fakultät für Angewandte Wissenschaften der Albert-Ludwigs-Universität Freiburg im Breisgau vorgelegt von Seung-Mo Lee geboren in Chun-cheon, Südkorea Freiburg im Breisgau 2009

2 Dedicated to my lovely wife Ji-Sun, to my venerable father in heaven, to my mother, to my youger sister, and to my teacher Prof. Ulrich Gösele resting in peace To all those who taught me This dissertation is for you

3 If most of us are ashamed of shabby clothes and shoddy furniture, Let us be more ashamed of shabby ideas and shoddy philosophy -By Albert Einstein

4 Dekan: Prof. Dr. Hans Zappe 1. Referent: Prof. Dr. Ulrich Gösele 2. Referent: Prof. Dr. Margit Zacharias Vorsitzender der Prüfungskommission: Prof. Dr. Oliver Paul Beisitzender: Prof. Dr. Ulrich Egert Tag der Disputation: Mittwoch, Dez 16, 2009

5 Preface After invention of atomic layer deposition (ALD) by Dr. Tuomo Suntola and co-workers in 1974, the interest in ALD has strongly increased in the of 1990s and 2000s to satisfy the industrial need to scale down microelectronic devices. Thanks to increased scientific and technical interest, nowadays diverse manufacturers and institutions have performed various designs of ALD machinery together with the development of new ALD precursors. ALD can be defined as a film deposition technique which is based on the sequential use of self-terminating gas solid reactions. ALD is a subset of chemical vapor deposition (CVD) suitable for depositing inorganic layers with a thickness down to the level of a monolayer. ALD has the capability to coat complex shaped substrates with a conformal film of high quality. Due to these unique characteristics, ALD-grown materials have a wide range of applications, from catalysts to electroluminescent displays and microelectronics. ALD is recognized as one of the key technologies for the surface modification and functionalization of complex organic or inorganic nanostructures, such as nanowires, nanopores or nanotubes. Besides the excellent conformality of the ALD coating, some scientists have reported examples of inorganic nanostructures fabricated from complicated biological templates as one of the bottom up approaches for 3D nanofabrication. In this thesis, some examples will be introduced which illuminate novel applications of ALD using biological matter, such as dragline silks from Araneus spider and collagen membranes collected from chicken s eggshell matrices. It will be demonstrated that that metal oxide ALD coatings on these biological templates leads to conformally coated metal oxide films on the templates as well as to chemical/physical modifications of the inner protein structure of the silk and collagen involved. As a result, those modifications lead to an improvement of the mechanical properties. This modification process by ALD has been termed Multiple Pulsed Vapor Phase Infiltration or MPI in short. At present, the detailed mechanism associated with the chemical/physical modifications and the reasons causing those resulting property improvements are not clearly understood yet. It has, however, been deduced that this mechanical property improvement can be attributed to metal infiltration into the inner protein structure of the silk and the collagen, and this metal infiltration is related to the unique self-limiting film deposition mechanism of the ALD process which distinguishes it from other deposition techniques. On the other hand, aside from mechanical properties improvements, it is expected that, those modifications presumably could affect other physical properties, such as electrical, magnetic and optical properties. In this thesis, however, first and foremost preliminary results focusing on the improvement of mechanical properties are presented.

6 In order to give a general idea of the ALD process to the reader, in Chapter 1 the basic principle of ALD is explained using a metal oxide deposition, such as Al 2 O 3, TiO 2 and ZnO as an example. Further on, the fundamental differences between ALD and CVD are pointed out. Following the introduction of ALD, in Chapter 2, basic parameters from mechanics, required to describe and understand the mechanical deformation behaviour, are briefly described using a stress-strain curve plotted under uniaxial tensile test. The viscoelastic behaviour of materials is also introduced. In the Chapters 3, 4 and 5, ALD applications using biological matter are addressed. Firstly, in Chapter 3, an example to show the capability of ALD to conformally deposit materials (TiO 2 and ZnO) on complexshaped biological templates (macroporous collagen membranes) is presented. Moreover, some crystallographic growth features of TiO 2 and ZnO at various processing temperatures are also demonstrated. As a feasible application of those templated inorganic TiO 2 /ZnO structures, photocatalytic effects under UV illumination are presented. In Chapter 4, an example to illuminate a novel application of ALD, i.e., the chemical/physical modification of protein structure of spider dragline silk by the MPI process and the resulting mechanical property improvements are presented. In Chapter 5, similar to Chapter 4, using collagen which is a primary concern in tissue engineering, chemical/physical modification processes and subsequent improvements of the mechanical properties together with scientific validation of the modified collagen are discussed. Even though the mechanism related to the modification and subsequent improvement of the mechanical properties is not yet clear, in Chapter 4 and 5 the presumable models or mechanisms to explain mechanical deformation behaviour are proposed. Finally, in the Appendix some data figures which were not included in the main text are presented.

7 Table of Contents CHAPTER GENERAL ASPECTS OF ATOMIC LAYER DEPOSITION AND IT S APPLICATIONS INTRODUCTION PRINCIPLE AND CHARACTERISTIC FEATURES OF THE ALD PROCESS INVESTIGATED ALD MATERIALS REACTION MECHANISMS OF METAL OXIDES BY ALD COMPARISON OF ALD AND CVD MULTIPLE PULSED VAPOR PHASE INFILTRATION CHAPTER BASIC PARAMETERS IN MECHANICS STRESS AND STRAIN STRESS - STRAIN CURVE STRAIN ENERGY, BREAKING ENERGY AND TOUGHNESS STIFF MATERIALS, STRONG MATERIALS AND TOUGH MATERIALS ELASTIC DEFORMATION AND PLASTIC DEFORMATION CHAPTER METAL OXIDE DEPOSITION ON BIOTEMPLATE: MACROPOROUS PHOTOCATALYTIC TiO 2 OR ZnO MEMBRANES TEMPLATED FROM CHICKEN S EGGSHELL MATRICES BACKGROUND Historical background of photocatalysis Principle of photocatalysis and applications Crystal structure of TiO 2 and ZnO Escherichia coli (E. coli) bacteria INTRODUCTION EXPERIMENTAL Preparation of the inner shell membrane from a chicken s egg TiO 2 /ZnO atomic layer deposition on ISM Characterization Microbiology Photocatalytic experiments with ISM/TiO 2 and ISM/ZnO Tensile test of native ISM, ISM/ZnO/100 and ISM/TiO2/275 membranes RESULTS AND DISCUSSION Film quality, crystallographic features and bactericidal efficiency Mechanical flexibility and thermal stability CONCLUSION CHAPTER METAL INFILTRATION INTO SPIDER DRAGLINE SILK BACKGROUND Overview of spiders and mechanical properties of spider silk Chemical structure and macroscopic model for spider silk Models for the description of dragline silk s mechanical properties Function of metals in biological tissues EXPERIMENTAL Silk collection Multiple pulsed vapor phase infiltration process Tensile test TEM and EDX analysis Solid state nuclear magnetic resonance (NMR) spectroscopy Wide angle X-ray scattering (WAXS) RESULTS AND DISCUSSION... 67

8 4.3.1 Variation of mechanical properties under diverse conditions Scientific validation of the MPI process Model system for the metal infiltration mechanism Model system for mechanical property improvements of silk CONCLUSION CHAPTER METAL INFILTRATION INTO COLLAGEN COLLAGEN AND TISSUE ENGINEERING STRUCTURE OF COLLAGEN COLLAGEN OF A CHICKEN S EGGSHELL MEMBRANE BIOMINERALIZATION OF COLLAGEN ARCHITECTURES EXPERIMENTAL Preparation of the collagen membrane (CM) from a chicken s eggshell matrix MPI process Tensile tests Cross section sample preparation by focused ion beam (FIB) SEM, TEM and EDX Raman spectroscopy Wide angle X-ray scattering Small angle X-ray scattering RESULTS AND DISCUSSION Mechanical deformation behaviour Metal infiltration into collagen Chemical analysis via Raman shift Structural analysis via x-ray scattering Biomineralization versus metal infiltration CONCLUSION SUMMARY REFERENCES AND NOTES ACKNOWLEDGEMENT APPENDIX A1. FIGURES A2. TABLES A3. REFERENCES AND NOTES CURRICULUM VITAE...139

9 Chapter 1 1 Chapter 1 General Aspects of Atomic Layer Deposition and It s Applications With the rapid development of semiconducting devices, scientists have made an effort to develop a method to process extremely thin high-k dielectric layers with high conformality. The special self-limiting growth mechanism of Atomic Layer Deposition (ALD) facilitates the film thickness and compositional control at the atomic level, as well as the deposition on large and complicated structures. On one hand, theses unique features make ALD a promising thin film deposition method for the next generation of micro- and nanoelectronics. On the other hand, recently, ALD has been applied in other fields, such as photovolatics, sensing, proactive coatings, nanostructuring by template approach, optoelectronics, piezoelectronics, chemical surface modification of diverse materials, micro- and nanoelectromechanical systems etc. ALD is also regarded as one of the innovative tools for the development of nanotechnology. In this chapter, general features of ALD will be briefly introduced together with some examples of applications. In the last part, one of the possible new applications of ALD induced by the separation of reactants, i.e. Multiple Pulsed Vapor Phase Infiltration (MPI), which could be widely applied to biomaterials, will be introduced. 1.1 Introduction In 1974, Dr. Tuomo Suntola and co-workers introduced a new thin film deposition method which was able to improve the quality of ZnS films used in thin-film electroluminiescent flat pannel dispalys. The first display with ZnS films deposited by the new method was lit in the display board in Helsinki Airport in Since then, thanks to the new process,

10 2 ALD General more than 2 million electroluminescent displays have been produced (about m 2 (20 ha)) [1]. Nowadays we call the new deposition method Atomic Layer Deposition (ALD). Until late 1980s ALD was applied to produce compound semiconductors and efforts to make III-V compunds were lasted. However, due to the chemical instability of group III alkyl compounds and group V hydrides, only little progress was achieved with ALD, as compared to molecular beam epitaxy (MBE) or metal-organic vapor phase epitaxy (MOVPE) [2]. Meanwhile, in the middle of 1990s, with the increased interest in silicon based microelectronics devices, the large takeoff of ALD began. Miniaturizing the dimension of the devices and inceasing aspect ratios in intergrated circuits required a new thin film deposition method with high controllability of film thickness and chemical film compositions on the atomic scale. Consequently, ALD came into focus as a potential candidate to facilitate those requirements. Several comprehensive reviews [3,4] cover sucessfully deposited ALD materials and corresponding processing conditions in detail. Another review descirbes the appliation of ALD in nanoscience [5]. In the following the general outline of ALD focusing on charateristic features and principles is presented. From the review articles [3-5], some contents relevant to the main topic of this thesis are extracted and presented accordingly. In the last part, a new application of the ALD process, named Multiple Pusled Vapor Phase Infiltration (MPI) [6], is briefly introduced. 1.2 Principle and characteristic features of the ALD process ALD is a technique based on sequential surface chemistry that deposits highly conformal thin-films of materials onto substrates of varying compositions. ALD is chemically similar to Chemical Vapor Deposition (CVD), except that the ALD approach splits the CVD reaction into two half-reactions, keeping the precursor materials seperate during the reaction. Such separation leads to a self-limiting mechanism and thus a control of the film growth on the atomic/molecular level. Unlike the CVD process, the ALD process is performed in a cyclic manner. Generally, one growth cycle consists of the following four steps (in case of ALD with two reactants): Step A: Injection and exposure of the first reactant (precursor A) Step B: Purge and evacuation to remove the surplus reactant and the byproducts from the vapor phase reaction Step C: Injection and exposure of the second reactant (precursor B) Step D: Purge and evacuation to remove the surplus reactant and the byproducts from vapor phase reaction

11 Chapter 1 3 Figure 1.1 A schematic representation of the basic principle of the ALD process. This diagram shows a metal oxide, i.e. MO 2 (M can be Ti, Zr or Hf etc.) deposition by ALD. For this deposition, a metal containing precursor ML 4 (L: ligand) as the metal source and H 2 O as the oxygen source are used. Sub-step 1 (chemisorption and saturation of precursor A), Sub-step 2-3 (purge), Sub-step 4 (chemisorption and saturation of precursor B) and Sub-step 5-6 (purge) in the figure correspond to Step A, Step B, Step C and Step D, respectively (for details, see the text). In Sub-step 1, the surface is exposed to a ML 4 vapor pulse. The precursor is chosen in a way that it reacts quickly with the reactive surface sites, forming a stable saturated chemisorbed layer. Once saturation is achieved, the purging of ML 4 vapor begins. Sub-step 2 presents the situation at the beginning of the purge, while Sub-step 3 shows a completed ML 4 vapor purge. The same exposure purge sequence is repeated for H 2 O vapor in Sub-step 4 (pulse), Sub-step 5 (purge onset) and Sub-step 6 (completed purge), completing a full ALD growth cycle. In Sub-step 4, the surface bound ligands receive a proton from H 2 O and leave the surface as volatile byproduct HL, being replaced with an OH group. The recreated OH terminated surface is now available for the next ALD growth cycle. These steps are repeated with each cycle adding a sub-monolayer quantity of material to the surface until a thin MO 2 film is formed. Sub-step 3 and Sub-step 6 emphasize the purge process of each unreacted precursor and the reaction byproduct. In a case where Sub-steps 1 and 4 or 5 overlap, the self-limitation is lost and CVD growth takes place in addition to ALD.

12 4 ALD General Figure 1.1 schematically illustrates one ALD reaction cycle. Each reaction cycle adds a given amount of material to the used substrate surface. In order to grow (or deposit) a material layer (for example, metal oxide, MO 2 in the case of Figure 1.1), ALD reaction cycles are repeated as many times as required for the desired film thickness. Accordingly, with the number of ALD cycles, one can precisely control the thickness of the film. One cycle time can be also adjusted from sub seconds to few minutes, depending on (1) the objective of the process; (2) the chemical charateristics of precursors being used; (3) the structure of the substrate and the deposition temperature; (4) the reactivity of the precursor with the substrate. The cycle time (for instance, exposure time and purge time) is dependent on the reciprocal reactivities between two precursors, the spontaneity of the layer formation reaction as well as the geometric features of the used substrate. Normally, when the geometry of the used substrate is flat or rather simple, with short cycle times one can obtain high quality films. Whereas, in the case of substrates with complex geometries (e.g. porous alumina or diverse biological templates), longer cycling times are required to assure complete and uniform coverage of the template. The growth rate (deposited film thickness per cycle) is likely to be dependent on the size of the used precursor molecule, because the steric hinderance between large precursor molecules limits the number of molecules being able to adsorb on the substrate. With small molecules as precursors, monolayer growth can be achieved [7]. Apart from the precursor molecule size, chemical properties of the substrate itself (for instance, surface energy of the used substrate) or the intermediate reactions between precursors and byproducts during processing can also affect the layer growth. a b Figure 1.2 Schematic ALD process window. a, Conceptual illustration of an ALD process window with respect to the processing temperature. In order to obtain suitable chemisorption of precursors onto a substrate surface via chemical bonding, the temperature should be lower than the precursor decomposition temperature to assure stable chemisorption. On the other side, the temperature should be higher than the lower limit to prevent precursor condensation or incomplete reaction. As an example, figure b shows ALD temperature windows for diverse metal oxides depending on the precursor pairs being used (Source:

13 Chapter 1 5 In an ideal case, the injected precursor molecules chemisorb or react with surface functional groups saturatively, limiting further adsorption. The self-limiting growth mechanism brings unique following advantages to ALD [7]: 1. Film thickness depends only on the number of reaction cycles, which allows precise and simple film thickness control. 2. Unlike CVD, there is less need of reactant flux homegeniety, which gives large area deposition capability, guarantees highly conformal deposition and faciliates solid state precursors. 3. Unlike CVD, seperated injection/exposure prevents vapor phase reactions before deposition on the substrate, which allows for the use of highly reactive precursors and gives enough time for each reaction step to be finished. This also allows for films to be deposited at relatively low temperature. On the other hand, each chemical reaction cycle of ALD requires a certain activation energy to allow the used precursors to react with each other. Most of the ALD systems introduce the required activation energy by heating (usually called thermal ALD). Some systems use reactive gases like plasmas (Plasma Enhanced ALD, or PEALD) or UV irradiation. Nevertheless, the ALD process window (Figure 1.2) is often wide, which also makes the deposition process insensitive to small changes in temperature and precursor flow rate, and allows for the deposition of nanolaminates in a continous process. 1.3 Investigated ALD materials ALD can be used to deposit a large variety of materials including oxides, nitrides, sulfides and metals. The number of new ALD processes is steadily increasing. Figure 1.3 illustrates the materials grown by ALD until February 2005 in a visual form using a periodic table. The variety of deposited materials includes oxides, nitrides, sulphides, II-V and III-V compounds and elements including both metals and non-metals etc. Table 1.1 lists the frequently used precursor combinations in two reactants system ALD.

14 6 ALD General Figure 1.3 Overview of materials grown by ALD until Feb This table is reproduced and edited from the table in Puurunen s review [3]. Growth of pure elements as well as compounds with oxygen, nitrogen, sulphur, selenium, tellurium and other compounds grouped together are indicated through shadings of different types at different positions. For details, see Puurunen s article [3]. The majority of the ALD processes investigated to date rely on thermal ALD. In thermal ALD processes the reactants (precursors) have their intrinsic reactivity towards the other reactants and the overall kinetics is highly dependent on the deposition temperature. The main reasons which limit the deposition of certain materials with thermally activated ALD are the decomposition of precursors before reaching the substrate or too low a reactivity between the reactants. The deposition temperature may be further limited by the substrate, which may be a temperature-sensitive material (such as biomaterials) or device structures. Low deposition temperatures may also limit the film quality if the film forming reactions are slow or incomplete. For example, slow desorption of reaction byproducts may result in increased amounts of impurities in the films. Some processes, however, demonstrated aggressive enough half-reactions and produced high quality films even at low temperatures [8]. Others suffered from excessively long cycle times and showed high impurity contents [9]. Even though diverse types of ALD processes except the thermal ALD have been developed in order to resolve those limitations, a fundamental development of precursors, which overcome those limitations, is highly desired (information included in Table 1.2).

15 Chapter 1 7 Table 1.1 ALD materials together with corresponding precursor combinations reported in literature and list of possible applications of ALD materials. a TEMAH: Tetrakisethyl Film Precursors [3] Applications methylaminohafnium, o High-κ dielectric [10] Hf[N(C 2 H 5 )(CH 3 )] 4. AlCl 3 / H 2 O or O 3 o OLED Passivation [11] Al 2 O 3 AlBr 3 / H 2 O b o Anti-reflection and optical filters [12-14] Ti(OEt)4 : Titaniumethoxide, Al(CH) 3 / H 2 O or O 3 o Wear and corrosion inhibiting layers [15] Ti(OC 2 H 5 ) 4 HfO 2 TiO 2 HfCl 4 / H 2 O TEMAH a / H 2 O TiCl 4 / H 2 O Ti(OEt) 4 b / H2 O Ti(O i Pr) 4 c o High-κ dielectric [16-19] o High-κ dielectric [19,20] o Photocatalysis [21] o UV blocking layer [22] o Photonic crystals [23] SiO 2 SiCl 4, / H 2 O o Dielectric [18,19,24] ZrO 2 ZnO SnO 2 Ta 2 O 5 La 2 O 3 ZnS ZrCl 4 / H 2 O Zr(O t Bu) 4 d / H2 O ZrI 4 / H 2 O 2 e ZnEt 2 / H2 O f Zn(OAc) 2 / H2 O SnCl 4 / H 2 O SnI 4 / O 2 TaCl 5 / H 2 O Ta(OEt) 5 g / H2 O La(thd) 3 h / O3 La[N(SiMe 3 ) 2 ] 3 i / H2 O ZnCl 2 / H 2 S o High-κ dielectric [18,19] o Photocatalysis o Wear and corrosion inhibiting layers o Piezoelectric layers o UV blocking layer [22] o Photocatalysis [21] o Photonic crystals [24] o Optical applications (solar cells, integrated optics, optical coatings, laser) [25] o o Anti-reflection and optical filters Sensors (gas sensors, ph sensors) [26,27] o Anti-reflection and optical filters [28] o Sensors (gas sensors, ph sensors) [28] o High-κ dielectric [28] o High-κ dielectric [29,30] o Piezoelectric layers o Optoelectronic applications [31,32] WS 2 WF 6 / H 2 S o Solid Lubricant layers [33] o Biomedical coatings( biocompatible Zr 3 N 4 Zr(NMe 2 ) j 4 / NH 3 materials for in-vivo medical devices and instruments) Ta 2 N TaCl 5 / H 2 O 5 Ta(OEt) 5 / H 2 O o Photonic crystals [34] TaN Ta(N t Bu)(NEt 2 ) k / NH 3 o Diffusion barrier for Cu metallization [35] c Ti(O i Pr) 4 : Titaniumisopropoxide, Ti[OCH(CH 3 ) 2 ] 4 d Zr(O t Bu) 4 : Tetrakisethyl methylaminozirconium, Zr[N(CH 3 )(C 2 H 5 )] 4 e ZnEt2 : Diethylzinc, Zn(C 2 H 5 ) 2 f Zn(OAc)2 : Zincacetatedihydrate, Zn(O 2 CCH 3 ) 2 (H 2 O) 2 g Ta(OEt)5 :Tantalumthoxide, Ta(OC 2 H 5 ) 5 i La[N(SiMe3 ) 2 ] 3 : Lanthanumtris[bis(trimethylsil yl)amide], C 18 H 54 LaN 3 Si 6 j Zr(NMe2 ) 4 : zirconium(tetra)dimethylamid e, Zr[N(CH 3 ) 2 ] 4 AlN AlMe 3 / NH 3 AlCl 3 / NH 3 TiN TiCl 4 or Til 4 / H 2 WN WF 6 / NH 3 CuCl / H 2 Cu(thd) 2 / H 2 Cu l Cu(acac) 2 / H2 Cu(hfac) 2 x H 2 O m / Ch 3 OH MoF 6 / H 2 Mo MoCl 5 / H 2 Mo(Co) 6 / H 2 Ni(acac) 2, 2 step process Ni NiO by O 3 reduced afterwards by H 2 Ta TaCl 5 / H 2 W WF 6 / B 2 H 6 or Si 2 H 6 Ti TiCl 4 / H 2 o Piezoelectric layers o Diffusion barrier for Cu metallization [36] o Conductive gate electrodes o Biomedical coatings (biocompatible materials for in-vivo medical devices and instruments) o Diffusion barrier for Cu metallization [36] o Optical application [37] h La(thd)3,where thd is 2,2,6,6,-tetramethyl-3,5- heptanedione, (CH 3 ) 3 CCOCH 2 COC(CH 3 ) 3 k Ta(NtBu)(NEt2 ) 3 : Tris(diethylamido)(tertbutylimido)tantalum, (CH 3 ) 3 CNTa[N(C 2 H 5 ) 2 ] 3, where N t Bu is called tertbutylimido group. l Cu(acac)2 :Copper(II) acetylacetonate, (C 5 H 7 O 2 ) 2 Cu m Cu(hfac)2 H 2 O: Copper(II) hexafluoroacetylacetonate hydrate, C 10 H 2 CuF 12 O 4. H 2 O

16 8 ALD General Table 1.2 Requirements for ALD precursors. The listed information in this table is summarized from references [2,3,7]. 1 Adequate volatility Requirement 2 Reasonable thermal stability Explanation Necessary for efficient transportation of the precursor to the ALD reactor at a rough limit of 0.1 Torr and at the deposition temperature. Thermal-decomposition can destroy the selflimiting film growth. 3 Aggressiveness, Appropriate reactivity Surface reaction should ensure fast completion. Short cycle times lead to high productivity. There should be no gas phase reaction. 4 No etching of the films or substrates No competing reaction pathways. Etching prevents the film growth. 5 No dissolution into the film or substrate Dissolution would destroy the self-limiting film growth. 6 Non-reactive volatile by-products Necessary to avoid corrosion. 7 Sufficient purity, Cost effectiveness, Easy handling and synthesis, Non-toxicity, Environmental friendliness 1.4 Reaction mechanisms of metal oxides by ALD a b c Figure 1.4 Chemical structure of TIP, TMA and DEZ. a, TIP. b, TMA. c, DEZ. Up to now, the basic principle of an ALD process and investigated ALD materials were briefly introduced. In the following, focusing on metal oxides deposited by a binary ALD reaction, more detailed reaction mechanisms of ALD film formation will be described, since the present work was performed with such ALD processes (in particular with Al 2 O 3, TiO 2 and ZnO). The oxides Al 2 O 3, TiO 2 and ZnO were deposited by trimethylaluminum (TMA)/water, titaniumisopropoxide (TIP)/water and diethylzinc (DEZ)/water precursor pairs, respectively. TMA, TIP and DEZ (Figure 1.4) were used as metal source and water was used as oxygen source. It is generally well accepted that, during ALD metal oxide growth, hydroxyl groups play an important role as intermediate species remaining on the surface of the deposited film after the water exposure [38-47]. During the subsequent

17 Chapter 1 9 exposure of metal containing precursors, the hydroxyl groups react with the incoming metal compounds, thereby becoming anchored to the surface as described by the following reaction. x( OH) + ML n (g) ( O ) x ML n-x + xhl(g) (R1) And ( O ) x ML n-x further react with water molecules during the next process step ( O ) x ML n-x + (n-x)h 2 O(g) ( O ) x M( OH) n-x + (n-x)hl(g) (R2), where blue ( )/red ( ) bar denote bonds between substrate surface and L (ligand) / M (metal) and L, respectively. On the other hand, not all of the hydroxyl groups ( OH) formed in (R2) do necessarily remain as such on the surface but some of them may react with other free water. 2( OH) ( O)+ H 2 O(g) (R3) This dehydroxylation increases with temperature causing a gradual decrease of the surface hydroxyl group density [40,42,43,45,47]. The amount of metal precursor anchored to the surface, and thereby the ALD growth rate, is determined either by the steric hinderance between the ( O ) x ML n-x surface species or by the density of the hydroxyl groups. Therefore, under conditions with extensive dehydroxylation, the hydroxyl group density may become a limiting factor with respect to the film growth rate. Hydroxyl groups may form on the surface also by rehydroxylation which is a reaction essentially reverse to the (R3). M O + H 2 O(g) M( OH) 2 (R4) O M O M + H 2 O(g) HO M O M OH (R5) The resulting hydroxyl groups are bound to the same (R4) or adjacent surface metal ions. Based on the simplified reaction scheme from (R1)-(R5), it is known that Al 2 O 3, ZnO and TiO 2 film are deposited as follows: (i) Al 2 O 3 deposition from the reaction of TMA/H 2 O [9,40,42,48,49]. A binary reaction for Al 2 O 3 chemical vapor deposition, 2Al(CH 3 ) 3 +3H 2 O Al 2 O 3 + 6CH 4 is separated into two half-reactions:

18 10 ALD General a, Al-OH* + Al(CH 3 ) 3 Al-O-Al-(CH 3 ) 2 * + CH 4 ; b, Al-O-Al-(CH 3 ) 2 * + H 2 O Al-O-Al-(CH 3 )OH* + CH 4, where the asterisks designate the surface species. TMA and H 2 O reactants were employed alternatively in an ABAB..binary reaction sequence to deposit Al 2 O 3 films. (ii) ZnO deposition from the reaction of DEZ/H 2 O [50-54]. Similar to the deposition of Al 2 O 3 from TMA/H 2 O, ZnO ALD is also based on a ZnO CVD process as follows Zn(CH 2 CH 3 ) 2 + H 2 O ZnO + 2C 2 H 6 ZnO ALD is proposed to occur by speration of this binary reaction into two half-reactions: a, Zn-OH* +Zn-(CH 2 CH 3 ) 2 Zn-O-Zn-(CH 2 CH 3 )* + C 2 H 6 ; b, Zn-O-Zn-(CH 2 CH 3 )* + H 2 O Zn-O-Zn-OH* + C 2 H 6, where the asterisks designate a surface species. Alternating ABAB..reaction sequence is repeated to deposit ZnO films. (iii) TiO 2 deposition from reaction of TIP/H 2 O [55-58]. Unlike Al 2 O 3 and ZnO, the TiO 2 deposition mechanism is rather complicated. The behaviour shows strong dependency on the processing temperature and is highly affected by decomposition of TIP. It is suggested that, below a temperature of 250 C, TiO 2 grows via the two following reactions ( denotes bonds between substrate surface) [58]: 2( OH) + Ti[OCH(CH 3 ) 2 ] 4 ( O ) 2 Ti[OCH(CH 3 ) 2 ] 2 + 2(CH 3 ) 2 CHOH (R6) ( O ) 2 Ti[OCH(CH 3 ) 2 ] 2 + 2H 2 O ( O ) 2 Ti( OH) 2 + 2(CH 3 ) 2 CHOH (R7) In the reaction, half of the ligands are released during the Ti[OCH(CH 3 ) 2 ] 4 pulse anchoring on the surface hydroxyl groups (R6). The H 2 O pulse hydrolyzes the rest of the ligands and converts the surface back to being hydroxyl group terminated (R7). At higher temperatures, surface dehydroxylation becomes more intense and thus there are less OH groups at the surface after the H 2 O pulse. Therefore, the mechanism is changing. Now, only a single isopropoxide ligand is released during the titanium precursor pulse (R8), the remainder of the ligands is released during the H 2 O pulse and the surface again becomes OH terminated (R9) as follows: ( OH) + Ti(OCH(CH 3 ) 2 ) 4 O Ti[OCH(CH 3 ) 2 ] 3 + (CH 3 ) 2 CHOH(g) (R8)

19 Chapter 1 11 O Ti[OCH(CH 3 ) 2 ] 3 + 2H 2 O ( O ) 2 TiOH + 3(CH 3 ) 2 CHOH(g) (R9) 1.5 Comparison of ALD and CVD As already mentioned above, ALD is a special case of CVD. Even though ALD uses a similar chemistry to CVD, the difference between them is large. CVD involes a chemical reaction which transforms vapor phase precursor molecules into solids, depositing as thin films or powder on the surface of a substrate. As illustrated in Figure 1.5, in CVD vaporized precursors with a constant pressure (Figure 1.6) are simulataneously delivered into a reactor with a carrier gas. The precursor molecules diffuse inside the reactor to the vicinity of a substrate surface. An adsorption of the diffused molecules on surface occurs, followed by a reaction yielding solid reaction products. Since the substrate temperature is critical and can influence the type of the reaction, the reactions are activated and maintained by heat, plasma, photons, electrons, ions or a combination thereof. Vapor phase reaction products are also formed and are removed from the reaction chamber. Figure 1.5 Schematic illustration of a CVD process. For details, see the text. In contrast, in ALD the precursors are not mixed and are introduced into the reactor sequentially. Thanks to the self-limitation as a consequence of the pulsed deposition scheme, the thickness control is performed as a function of cycles, whereas, in CVD the thickness is controlled by the processing time (e.g. nm/min). A common feature of CVD and ALD is that all surfaces exposed to the precursor vapor are coated. This means that films of uniform thickness can be produced on 3D

20 12 ALD General substrates. Basically, CVD is a gas phase reaction which can cause a particle deposition. In a CVD process, the life time of precursors is not long enough for precursor molecules to be transported and diffused on the complicated 3D substrates. Therefore, CVD is rather a line-of-sight deposition method and shadowing effects lead to non-uniformity of the films along a 3D substrate. As a result, one can expect better uniformity with ALD than with CVD. By adjusting the cycle time, in particular, exposure time, the film uniformity and conformality on complicated substrates can be maximized (Figure 1.7). Including the differences mentioned above, the other differences and special features of ALD and CVD are summarized in Table 1.3. a b Figure 1.6 Schematic partial pressure profiles of ALD and CVD during a process. In the case of ALD (figure a), since the film is deposited based on exposure/purge of each precursor, the partial pressure profile has a form of a square wave function. In contrast, in classical CVD (figure b) the precursors are introduced into a reactor at the same time, the pressure profile of each precursor is kept constant. In figure a, E and P denotes exposure and purge, respectively. The pressure profile of CVD (figure b) shown here is only valid for classical CVD, but not for pulsed CVD. a b Figure 1.7 Difference of coating behaviour of ALD and CVD. In ALD (figure a), thanks to the selflimiting reaction mechanism, an extremely uniform film can be deposited. In CVD (figure b), one can expect a very uniform film but practically the film is less uniform than ALD film. Since CVD is rather a line-of-sight deposition method, shadowing effects lead to non-uniformity of the films along a 3D sample.

21 Chapter 1 13 Table 1.3 Comparison of ALD and CVD. This data is summarized after extracting information in diverse books, research articles and internet web pages [2-5,7]. Criteria ALD CVD Uniformity Control o o o Å range Controlled by counting the number of reaction cycles Ensured by the saturation mechanism o o o 10Å range, Controlled by process control and monitoring, time Requires uniform flux of reactant and uniform temperature Film Quality o o o Excellent stoichiometry Low pinhole count Stress control possible o o o Excellent stoichiometry Low pinhole count Stress control possible Conformality 100% step coverage in 60:1 aspect ratio 100% step coverage in 10:1 aspect ratio Cleanliness No particles due to separated half reaction Particles due to gas phase reactions Vacuum Requirement Medium Medium Process Window Precursor Deposition reaction Contamination < 1% dependency on 10% process parameter changes o Highly reactive precursors o Precursors must not decompose at process temperatures Surface reaction 5 ~ 30 wt % (C, O). But with PE-ALD contamination can be minimized (< 1 wt %). Strong dependency on process parameter changes o Less active precursors o Precursors can decompose at process temperature Surface reaction + Gas phase reaction < 1 wt % 1.6 Multiple pulsed vapor phase infiltration ALD has been developed for the controlled-deposition of various kinds of thin films (such as oxides, nitrides, elemental compounds etc.) with control on the atomic or molecular level. Up to now, the mainstream of ALD research has focused on expanding the variety of materials which can be deposited in a very controlled way. To this end, scientists in the field of ALD have tried to add additional systems (such as plasma) to a conventional ALD setup to activate precursors properly or they have made an effort to develop new precursors to be easily applied to a conventional thermal ALD system. On the other hand, most of the materials have been deposited mainly on solid state substrates which are normally used in the field of microelectronics devices. As the interest in nanomaterials (such as nanopores, -wires, -tubes and laminates) increased, recently some new aspects of ALD were introduced to be applied to general nanofabrication or nanotechnology. There were reports on some examples of structuring using nano-sized organic materials or biomaterials based on template approaches performed by low temperature ALD processes

22 14 ALD General [5]. A common concern of most of those ALD researchers has concentrated on the resulting ALD film and the functionality of the deposited film itself. Figure 1.8. Schematic of the difference between a conventional ALD process and the MPI process. Conventionally, by multiple pulses of ALD precursors such as TMA (green arrows) and water (pink arrows), thin films (such as alumina, illustrated by the red shell) are deposited on rigid materials (such as metals) without chemical modification of the bulk. In contrast, in the case of soft materials such as biomaterials or polymers, ALD provides a chemical modification of the bulk in addition to the thin film deposition (MPI process). As introduced above, unlike to the case of other deposition methods, an ALD film is deposited by multiple pulses of two or three precursors (binary or ternary reaction) depending on the required film. For each precursor the exposure/purge step is repeated. Since most organic materials or biomaterials are sensitive to the highly reactive metal containing precursors, one could anticipate side effects caused by ALD. Few years ago, some groups reported that, in a case of a polymer substrate, the ALD film growth is differing to the growth on other solid substrates [59]. The ALD process changed the chemical structures of the polymer [11]. More recently even more convincing results, supporting the fact that ALD can modify physical/chemical properties of soft materials (such as biomaterials), were reported [6]. It was proven that metals can infiltrate biomaterials by the alternating exposure/purge step of multiple pulses of vapor phased

23 Chapter 1 15 precursors occurring during the ALD process (Figure 1.8), named as Multiple Pulsed Vapor Phase Infiltration (MPI). In this thesis, one example of ALD on a biotemplate (Chapter 3) and two examples of MPI processes to biomaterials are presented (Chapter 4 and 5).

24 16 ALD General

25 Chapter 2 17 Chapter 2 Basic Parameters in Mechanics Mechanics is one of the well-established branches of physics. Its main focus is to investigate the behaviour of physical bodies when subjected to forces or displacements, and the subsequent effects of the bodies on their environment. The discipline has its roots in several ancient civilizations successively deveploping by learning from experience. During the early modern period, scientists such as Galileo, Kepler, and especially Newton, established the so called classical mechanics. In this chapter, several basic parameters required for understanding the mechanical behaviour of diverse physical bodies under the influence of outside force environmental conditions will briefly be introduced. Most of the contents described in this chapter are based on classical text books dealing with mechanics of materials [60-65]. 2.1 Stress and strain When a solid body with a given volume is subjected to an external force (in particular, tensile force), the material will typically elongate in the direction of the applied force. The relative elongation is called strain (often denoted by ε). Usually, this elongation leads to a contraction of the material in the direction perpendicular to the applied force, by a relative amount νε, where the coefficient ν is called Poisson ratio. For an isotropic piece of material, the relative increase of the volume during uniaxial stretching is 1-2ν which means that the Poisson ratio has an upper limit of ν 0.5, because the specimen volume is not expected to shrink under the influence of tensile forces. The load divided by the

26 18 Basic Terminologies in Mechanics surface area A is called stress (units: Pascal [Pa]). Generally, stresses and strains are not just uniaxial and need to be described by a tensor. a b c Figure 2.1 Tensile stress and shear stress. When the cubic piece of material (figure a) is subjected to tensile stress along the y-direction only, its length L is increased by Lε. The relative elongation ε is called (tensile) strain. In most cases, the dimension of the cube subjected to tensile load will contract perpendicularly to the load direction (figure b). The ratio ν of the contraction in the z-direction (or x- direction) relative to the elongation in y-direction is called the Poisson ratio. When the load is tangential to the top surface, shear deformation occurs (figure c). The shear is measured by the parameter γ, which (for small deformations) corresponds to the tilting angle of the cube edge initially parallel to the y-deformation. 2.2 Stress - strain curve A. Engineering stress-strain curve. Perhaps the most common test of a material s mechanical response is the tensile test, in which one end of a rod or wire specimen is clamped in a loading frame and the other subjected to a controlled displacement δ = (L-L 0 ) (see Figure 2.2). The engineering measures of stress and strain, denoted in this module as σ e and ε e respectively, are determined from the measured load and deflection using the original specimen cross-sectional area A 0 and length L 0 as σ e = F/A 0 and ε e = δ/l 0, respectively. In the low strain part of the curve, many materials obey Hooke s law to a reasonable approximation, so that stress is proportional to strain with the constant of proportionality being the modulus of elasticity or Young s modulus, denoted by E (= ε e /σ e ) σ e = Eε e (2.1)

27 Chapter 2 19 Figure 2.2 Schematic drawing of a tensile test and a stress-strain curve of a ductile material. By pulling on a specimen, the material s reponse to forces being applied in tension is determined. When the stress is plotted against the strain, a stress-strain curve is obtained. For the explanation, the stressstrain curve of a ductile material is exemplified. The nature of the curve varies from material to material. The stress strain behaviour of typical materials is illustrated in terms of the engineering stress (σ e ) and the engineering strain (ε e ) where the stress and strain are calculated based on the original dimensions of the specimen. The stress value calculated from instantaneous values of the specimen dimension is called true stress and the corresponding stressstrain curve is called true stress (σ t )-strain (ε t ) curve. C1: true stress (σ t )-strain (ε t ) curve; C2: engineering stress (σ e )-strain (ε e ) curve; R1: elastic deformation region (reversible); R2: strain hardening region (permanent deformation); R3: necking region (permanent deformation); P1: yielding point (σ y,ε y ), limit of the elastic region; P2: ultimate tensile strength (UTS), onset point of necking; P3: fracture point (σ f,ε f ); P4: proportional limit; E: Young s modulus, stiffness or modulus of elasticity. As strain is increased, many materials eventually deviate from this linear proportionality, the point of departure being termed the proportional limit. This nonlinearity is usually associated with stress-induced plastic flow in the specimen. Here the material is undergoing a rearrangement of its internal molecular or microscopic structure, in which atoms are moved to new equilibrium positions. This plasticity requires a mechanism for molecular mobility, which inside crystalline materials can arise from dislocation motion. Materials lacking this mobility, for instance by having internal microstructures that block dislocation motion, are usually brittle rather than ductile. The stress-strain curve for brittle materials is typically linear over the full range of strain, eventually terminating in fracture without significant plastic region. The stress needed to increase the strain beyond the proportional limit in a ductile material continues to rise beyond the proportional limit; the material requires an ever-increasing stress to continue straining, a mechanism termed strain hardening. These microstructural rearrangements associated with plastic flow are usually not reversible, even if the load is removed, so the

28 20 Basic Terminologies in Mechanics proportional limit is often the same as or at least close to the materials elastic limit. Elasticity is the property of complete and immediate recovery from an imposed displacement on release of the load, and the elastic limit is the value of stress at which the material experiences a permanent residual strain that is not lost on unloading. The residual strain induced by a given stress can be determined by drawing an unloading line from the highest point reached on the stress-strain curve back to the strain axis, drawn with a slope equal to that of the initial elastic loading line. This is done because the material unloads elastically, with no required force driving the molecular structure back to its original position. A closely related term is the yield stress, denoted σ Y in these modules; this marks the stress needed to induce plastic deformation of the specimen. Since it is often difficult to pinpoint the exact stress at which plastic deformation begins, the yield stress is often taken to be the stress needed to induce a specified amount of permanent strain, typically 0.2%. For some materials (e.g., metals and plastics), the departure from the linear elastic region cannot be easily identified. Therefore, an offset method to determine the yield strength of the material tested is allowed. These methods are discussed in ASTM E8 (metals) and D638 (plastics). An offset is specified as a percentage of strain (for metals, usually 0.2% and sometimes for plastics a value of 2% is used). The construction used to find this offset yield stress is shown in Figure 2.2 in which a line of slope E is drawn from the strain axis at ε e = 0.2%; this is the unloading line that would result in the specified permanent strain. The stress at the point of intersection with the σ e -ε e curve (P1) is the offset yield stress. The rate of strain hardening diminishes up to a point labeled ultimate tensile strength (UTS). Beyond that point, the material appears to soften, so that each increment of additional strain requires a smaller stress. The apparent change from strain hardening to strain softening is an artifact of the plotting procedure, however, as is the maximum observed in the curve at the UTS. Beyond the yield point, molecular flow causes a substantial reduction in the specimen cross-sectional area A 0, so the true stress (σ t = F/A actually borne by the material is larger than the engineering stress computed from the original cross-sectional area (σ e = F/A 0 ). The load must equal the true stress times the actual area (F = σ t A). As long as strain hardening can increase σ t enough to compensate for the reduced area A, the load and therefore the engineering stress will continue to rise as the strain increases. Eventually, the decrease in area due to flow becomes larger than the increase in true stress due to strain hardening, so the load begins to fall. This is a geometrical effect. If the true stress rather than the engineering stress were plotted, no maximum in the curve would be observed. At the UTS the differential of the load F is zero, giving an analytical relation between the true stress and the area at necking as follows:

29 Chapter 2 21 df da = d A df d A da - dσ t ( σ t ) = 0 = σ t + σ t = (2.2) A σ t The last expression states that the load and the engineering stress will reach a maximum as a function of strain when the fractional decrease in area becomes equal to the fractional increase in true stress. Figure 2.3 Necking in a tensile specimen. Under the uniaxial tensile load the aluminum specimen starts to deform and reaches necking. Finally cup and cone shaped fracture occurs (source: [65]. Even though the UTS is perhaps the materials property most commonly reported in tensile tests, it is not a direct measure of the material due to the influence of geometry as discussed above, and should be used with care. The yield stress σ Y is usually preferred to the UTS in designing ductile metals, although the UTS is a valid design criterion for brittle materials which do not exhibit these flow-induced reductions in cross-sectional area. The true stress is not quite uniform throughout the specimen, and there will always be some location-perhaps a nick or some other defect at the surface - where the local stress has a maximum. Once the maximum in the engineering curve has been reached, the localized flow at this site cannot be compensated by further strain hardening, so this area is further reduced. This increases the local stress even more, which further accelerates the flow. This localized and increasing flow soon leads to a neck in the gage length of the

30 22 Basic Terminologies in Mechanics specimen such as the one seen in Figure 2.3. Until the neck forms, the deformation is essentially uniform throughout the specimen, but after necking all subsequent deformation takes place in the neck. The neck becomes smaller and smaller with the local true stress increasing all the time, until the specimen fails. This will be the failure mode for most ductile metals. As the neck shrinks, the non-uniform geometry there alters the uniaxial stress state to a complex one, involving shear components as well as normal stresses. The specimen often fails finally with a cup and cone geometry as seen in Figure 2.3, in which the outer region fails in shear and the interior in tension. When the specimen fractures, the engineering strain at break (fracture strain, ε f ) will include the deformation in both the necked and the unnecked region. Since the true strain in the neck is larger than that in the unnecked material, the value of ε f will depend on the fraction of the gage length that has necked. Therefore, ε f is a function of the specimen geometry as well as the material, and thus is only a crude measure of material ductility. A. True stress-strain curve. As discussed above, the engineering stress-strain curve must be interpreted with care beyond the elastic limit, since the dimension of the specimen s cross section experiences a substantial change from its original value. Using the true stress σ t = F/A rather than the engineering stress σ e = F/A 0 can give a more direct measure of the material s response in the plastic flow range. A measure of strain often used in conjunction with the true stress takes the increment of strain to be the incremental increase in displacement dl divided by the current length L as follows L dl 1 L dε t = ε t = dl = In L (2.3) L L L 0 0 This is named as the true or logarithmic strain. During yield and the plastic-flow regime following yield, the material flows with negligible change in volume; increases in length are offset by decreases in cross-sectional area. Prior to necking, when the strain is still uniform along the specimen length, this volume (V) constraint can be written: A L = constant dv = 0 AL = A0 L0 = A L V 0 0 (2.4) The ratio L/L 0 is defined as extension ratio (λ). Using these relations, the relation between true and engineering measures of tensile strain can be developed as follows

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

METU DEPARTMENT OF METALLURGICAL AND MATERIALS ENGINEERING

METU DEPARTMENT OF METALLURGICAL AND MATERIALS ENGINEERING METU DEPARTMENT OF METALLURGICAL AND MATERIALS ENGINEERING Met E 206 MATERIALS LABORATORY EXPERIMENT 1 Prof. Dr. Rıza GÜRBÜZ Res. Assist. Gül ÇEVİK (Room: B-306) INTRODUCTION TENSION TEST Mechanical testing

More information

Objectives. Experimentally determine the yield strength, tensile strength, and modules of elasticity and ductility of given materials.

Objectives. Experimentally determine the yield strength, tensile strength, and modules of elasticity and ductility of given materials. Lab 3 Tension Test Objectives Concepts Background Experimental Procedure Report Requirements Discussion Objectives Experimentally determine the yield strength, tensile strength, and modules of elasticity

More information

Chapter Outline. Mechanical Properties of Metals How do metals respond to external loads?

Chapter Outline. Mechanical Properties of Metals How do metals respond to external loads? Mechanical Properties of Metals How do metals respond to external loads? Stress and Strain Tension Compression Shear Torsion Elastic deformation Plastic Deformation Yield Strength Tensile Strength Ductility

More information

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy 35 years of ALD Conventional methods for compound film deposition Heat treatment Final crystallization Nucleation Vacuum evaporation Sputtering CVD Buildup of thin film in source controlled deposition

More information

Stress Strain Relationships

Stress Strain Relationships Stress Strain Relationships Tensile Testing One basic ingredient in the study of the mechanics of deformable bodies is the resistive properties of materials. These properties relate the stresses to the

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

Mechanical Properties of Metals Mechanical Properties refers to the behavior of material when external forces are applied

Mechanical Properties of Metals Mechanical Properties refers to the behavior of material when external forces are applied Mechanical Properties of Metals Mechanical Properties refers to the behavior of material when external forces are applied Stress and strain fracture or engineering point of view: allows to predict the

More information

Structural Integrity Analysis

Structural Integrity Analysis Structural Integrity Analysis 1. STRESS CONCENTRATION Igor Kokcharov 1.1 STRESSES AND CONCENTRATORS 1.1.1 Stress An applied external force F causes inner forces in the carrying structure. Inner forces

More information

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

STRESS-STRAIN CURVES

STRESS-STRAIN CURVES STRESS-STRAIN CURVES David Roylance Department of Materials Science and Engineering Massachusetts Institute of Technology Cambridge, MA 02139 August 23, 2001 Introduction Stress-strain curves are an extremely

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Coating Thickness and Composition Analysis by Micro-EDXRF

Coating Thickness and Composition Analysis by Micro-EDXRF Application Note: XRF Coating Thickness and Composition Analysis by Micro-EDXRF www.edax.com Coating Thickness and Composition Analysis by Micro-EDXRF Introduction: The use of coatings in the modern manufacturing

More information

Long term performance of polymers

Long term performance of polymers 1.0 Introduction Long term performance of polymers Polymer materials exhibit time dependent behavior. The stress and strain induced when a load is applied are a function of time. In the most general form

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Chapter Outline Dislocations and Strengthening Mechanisms

Chapter Outline Dislocations and Strengthening Mechanisms Chapter Outline Dislocations and Strengthening Mechanisms What is happening in material during plastic deformation? Dislocations and Plastic Deformation Motion of dislocations in response to stress Slip

More information

Tensile Testing Laboratory

Tensile Testing Laboratory Tensile Testing Laboratory By Stephan Favilla 0723668 ME 354 AC Date of Lab Report Submission: February 11 th 2010 Date of Lab Exercise: January 28 th 2010 1 Executive Summary Tensile tests are fundamental

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

LABORATORY EXPERIMENTS TESTING OF MATERIALS

LABORATORY EXPERIMENTS TESTING OF MATERIALS LABORATORY EXPERIMENTS TESTING OF MATERIALS 1. TENSION TEST: INTRODUCTION & THEORY The tension test is the most commonly used method to evaluate the mechanical properties of metals. Its main objective

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

PROPERTIES OF MATERIALS

PROPERTIES OF MATERIALS 1 PROPERTIES OF MATERIALS 1.1 PROPERTIES OF MATERIALS Different materials possess different properties in varying degree and therefore behave in different ways under given conditions. These properties

More information

ALD Atomic Layer Deposition

ALD Atomic Layer Deposition Research - Services ALD Atomic Layer Deposition Atomic Layer Deposition is a deposition process for assembling of thin films on the nanometer scale. The self-limiting deposition of atomic monolayers occurs

More information

ANALYTICAL AND EXPERIMENTAL EVALUATION OF SPRING BACK EFFECTS IN A TYPICAL COLD ROLLED SHEET

ANALYTICAL AND EXPERIMENTAL EVALUATION OF SPRING BACK EFFECTS IN A TYPICAL COLD ROLLED SHEET International Journal of Mechanical Engineering and Technology (IJMET) Volume 7, Issue 1, Jan-Feb 2016, pp. 119-130, Article ID: IJMET_07_01_013 Available online at http://www.iaeme.com/ijmet/issues.asp?jtype=ijmet&vtype=7&itype=1

More information

Graphene a material for the future

Graphene a material for the future Graphene a material for the future by Olav Thorsen What is graphene? What is graphene? Simply put, it is a thin layer of pure carbon What is graphene? Simply put, it is a thin layer of pure carbon It has

More information

8.2 Elastic Strain Energy

8.2 Elastic Strain Energy Section 8. 8. Elastic Strain Energy The strain energy stored in an elastic material upon deformation is calculated below for a number of different geometries and loading conditions. These expressions for

More information

Numerical Analysis of Independent Wire Strand Core (IWSC) Wire Rope

Numerical Analysis of Independent Wire Strand Core (IWSC) Wire Rope Numerical Analysis of Independent Wire Strand Core (IWSC) Wire Rope Rakesh Sidharthan 1 Gnanavel B K 2 Assistant professor Mechanical, Department Professor, Mechanical Department, Gojan engineering college,

More information

How do single crystals differ from polycrystalline samples? Why would one go to the effort of growing a single crystal?

How do single crystals differ from polycrystalline samples? Why would one go to the effort of growing a single crystal? Crystal Growth How do single crystals differ from polycrystalline samples? Single crystal specimens maintain translational symmetry over macroscopic distances (crystal dimensions are typically 0.1 mm 10

More information

The atomic packing factor is defined as the ratio of sphere volume to the total unit cell volume, or APF = V S V C. = 2(sphere volume) = 2 = V C = 4R

The atomic packing factor is defined as the ratio of sphere volume to the total unit cell volume, or APF = V S V C. = 2(sphere volume) = 2 = V C = 4R 3.5 Show that the atomic packing factor for BCC is 0.68. The atomic packing factor is defined as the ratio of sphere volume to the total unit cell volume, or APF = V S V C Since there are two spheres associated

More information

Modern Construction Materials Prof. Ravindra Gettu Department of Civil Engineering Indian Institute of Technology, Madras

Modern Construction Materials Prof. Ravindra Gettu Department of Civil Engineering Indian Institute of Technology, Madras Modern Construction Materials Prof. Ravindra Gettu Department of Civil Engineering Indian Institute of Technology, Madras Module - 2 Lecture - 2 Part 2 of 2 Review of Atomic Bonding II We will continue

More information

CONSOLIDATION AND HIGH STRAIN RATE MECHANICAL BEHAVIOR OF NANOCRYSTALLINE TANTALUM POWDER

CONSOLIDATION AND HIGH STRAIN RATE MECHANICAL BEHAVIOR OF NANOCRYSTALLINE TANTALUM POWDER CONSOLIDATION AND HIGH STRAIN RATE MECHANICAL BEHAVIOR OF NANOCRYSTALLINE TANTALUM POWDER Sang H. Yoo, T.S. Sudarshan, Krupa Sethuram Materials Modification Inc, 2929-P1 Eskridge Rd, Fairfax, VA, 22031

More information

Chapter Outline Dislocations and Strengthening Mechanisms

Chapter Outline Dislocations and Strengthening Mechanisms Chapter Outline Dislocations and Strengthening Mechanisms What is happening in material during plastic deformation? Dislocations and Plastic Deformation Motion of dislocations in response to stress Slip

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Introduction............................. 2. Electrostatic Charging of Samples in Photoemission Experiments............................

More information

Indiana's Academic Standards 2010 ICP Indiana's Academic Standards 2016 ICP. map) that describe the relationship acceleration, velocity and distance.

Indiana's Academic Standards 2010 ICP Indiana's Academic Standards 2016 ICP. map) that describe the relationship acceleration, velocity and distance. .1.1 Measure the motion of objects to understand.1.1 Develop graphical, the relationships among distance, velocity and mathematical, and pictorial acceleration. Develop deeper understanding through representations

More information

T. Suntola: 30 years of ALD ALD 2004, Aug. 16 18, 2004, University of Helsinki, Finland. 30 years of ALD Tuomo Suntola

T. Suntola: 30 years of ALD ALD 2004, Aug. 16 18, 2004, University of Helsinki, Finland. 30 years of ALD Tuomo Suntola 30 years of ALD Tuomo Suntola Key tool for finding the ALE in 1974 Key tool for finding the ALE in 1974 Tool for the demonstration of ALE in 1974 ALE growth of ZnS in Aug/Sept 1974 ALE growth of ZnS in

More information

Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe. Keywords: Carbon Nanotube, Scanning Probe Microscope

Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe. Keywords: Carbon Nanotube, Scanning Probe Microscope International Journal of Arts and Sciences 3(1): 18-26 (2009) CD-ROM. ISSN: 1944-6934 InternationalJournal.org Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe Bedri Onur Kucukyildirim,

More information

Heterogeneous Catalysis and Catalytic Processes Prof. K. K. Pant Department of Chemical Engineering Indian Institute of Technology, Delhi

Heterogeneous Catalysis and Catalytic Processes Prof. K. K. Pant Department of Chemical Engineering Indian Institute of Technology, Delhi Heterogeneous Catalysis and Catalytic Processes Prof. K. K. Pant Department of Chemical Engineering Indian Institute of Technology, Delhi Module - 03 Lecture 10 Good morning. In my last lecture, I was

More information

Surface Area and Porosity

Surface Area and Porosity Surface Area and Porosity 1 Background Techniques Surface area Outline Total - physical adsorption External Porosity meso micro 2 Length 1 Å 1 nm 1 µm 1 1 1 1 1 mm macro meso micro metal crystallite 1-1

More information

Laser beam sintering of coatings and structures

Laser beam sintering of coatings and structures Laser beam sintering of coatings and structures Anne- Maria Reinecke, Peter Regenfuß, Maren Nieher, Sascha Klötzer, Robby Ebert, Horst Exner Laserinstitut Mittelsachsen e.v. an der Hochschule Mittweida,

More information

BET Surface Area Analysis of Nanoparticles

BET Surface Area Analysis of Nanoparticles OpenStax-CNX module: m38278 1 BET Surface Area Analysis of Nanoparticles Nina Hwang Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0

More information

4 Thermomechanical Analysis (TMA)

4 Thermomechanical Analysis (TMA) 172 4 Thermomechanical Analysis 4 Thermomechanical Analysis (TMA) 4.1 Principles of TMA 4.1.1 Introduction A dilatometer is used to determine the linear thermal expansion of a solid as a function of temperature.

More information

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob Chemical Sputtering von Kohlenstoff durch Wasserstoff W. Jacob Centre for Interdisciplinary Plasma Science Max-Planck-Institut für Plasmaphysik, 85748 Garching Content: Definitions: Chemical erosion, physical

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Pulsed laser deposition of organic materials

Pulsed laser deposition of organic materials Pulsed laser deposition of organic materials PhD theses Gabriella Kecskeméti Department of Optics and Quantum Electronics University of Szeged Supervisor: Dr. Béla Hopp senior research fellow Department

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

Chemical Synthesis. Overview. Chemical Synthesis of Nanocrystals. Self-Assembly of Nanocrystals. Example: Cu 146 Se 73 (PPh 3 ) 30

Chemical Synthesis. Overview. Chemical Synthesis of Nanocrystals. Self-Assembly of Nanocrystals. Example: Cu 146 Se 73 (PPh 3 ) 30 Chemical Synthesis Spontaneous organization of molecules into stable, structurally well-defined aggregates at the nanometer length scale. Overview The 1-100 nm nanoscale length is in between traditional

More information

Chapter 1 The Atomic Nature of Matter

Chapter 1 The Atomic Nature of Matter Chapter 1 The Atomic Nature of Matter 6. Substances that cannot be decomposed into two or more simpler substances by chemical means are called a. pure substances. b. compounds. c. molecules. d. elements.

More information

CS257 Introduction to Nanocomputing

CS257 Introduction to Nanocomputing CS257 Introduction to Nanocomputing Overview of Crossbar-Based Computing John E Savage Overview Intro to NW growth methods Chemical vapor deposition and fluidic assembly Nano imprinting Nano stamping Four

More information

1. Fluids Mechanics and Fluid Properties. 1.1 Objectives of this section. 1.2 Fluids

1. Fluids Mechanics and Fluid Properties. 1.1 Objectives of this section. 1.2 Fluids 1. Fluids Mechanics and Fluid Properties What is fluid mechanics? As its name suggests it is the branch of applied mechanics concerned with the statics and dynamics of fluids - both liquids and gases.

More information

The interaction of Cu(100)-Fe surfaces with oxygen studied with photoelectron spectroscopy. I

The interaction of Cu(100)-Fe surfaces with oxygen studied with photoelectron spectroscopy. I 5 The interaction of Cu(100)-Fe surfaces with oxygen studied with photoelectron spectroscopy. I Mg Kα excited photoemission. Abstract The oxidation of Cu(100)-Fe surfaces was studied using XPS. Surfaces

More information

Onur Yavuzçetin Fakultät für Naturwissenschaften Department Physik ATOMIC SCALE NANOWIRES

Onur Yavuzçetin Fakultät für Naturwissenschaften Department Physik ATOMIC SCALE NANOWIRES Onur Yavuzçetin Fakultät für Naturwissenschaften Department Physik ATOMIC SCALE NANOWIRES 1 What is a Nanowire? It is a nanostructure with the diameter of the order of a nanometer (10 9 meters) which is

More information

STRAIN-LIFE (e -N) APPROACH

STRAIN-LIFE (e -N) APPROACH CYCLIC DEFORMATION & STRAIN-LIFE (e -N) APPROACH MONOTONIC TENSION TEST AND STRESS-STRAIN BEHAVIOR STRAIN-CONTROLLED TEST METHODS CYCLIC DEFORMATION AND STRESS-STRAIN BEHAVIOR STRAIN-BASED APPROACH TO

More information

Chapter 1: Moles and equations. Learning outcomes. you should be able to:

Chapter 1: Moles and equations. Learning outcomes. you should be able to: Chapter 1: Moles and equations 1 Learning outcomes you should be able to: define and use the terms: relative atomic mass, isotopic mass and formula mass based on the 12 C scale perform calculations, including

More information

EXPERIMENTAL AND NUMERICAL ANALYSIS OF THE COLLAR PRODUCTION ON THE PIERCED FLAT SHEET METAL USING LASER FORMING PROCESS

EXPERIMENTAL AND NUMERICAL ANALYSIS OF THE COLLAR PRODUCTION ON THE PIERCED FLAT SHEET METAL USING LASER FORMING PROCESS JOURNAL OF CURRENT RESEARCH IN SCIENCE (ISSN 2322-5009) CODEN (USA): JCRSDJ 2014, Vol. 2, No. 2, pp:277-284 Available at www.jcrs010.com ORIGINAL ARTICLE EXPERIMENTAL AND NUMERICAL ANALYSIS OF THE COLLAR

More information

Bending, Forming and Flexing Printed Circuits

Bending, Forming and Flexing Printed Circuits Bending, Forming and Flexing Printed Circuits John Coonrod Rogers Corporation Introduction: In the printed circuit board industry there are generally two main types of circuit boards; there are rigid printed

More information

The mechanical properties of metal affected by heat treatment are:

The mechanical properties of metal affected by heat treatment are: Training Objective After watching this video and reviewing the printed material, the student/trainee will learn the basic concepts of the heat treating processes as they pertain to carbon and alloy steels.

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

2 Absorbing Solar Energy

2 Absorbing Solar Energy 2 Absorbing Solar Energy 2.1 Air Mass and the Solar Spectrum Now that we have introduced the solar cell, it is time to introduce the source of the energy the sun. The sun has many properties that could

More information

MOLECULAR DYNAMICS INVESTIGATION OF DEFORMATION RESPONSE OF THIN-FILM METALLIC NANOSTRUCTURES UNDER HEATING

MOLECULAR DYNAMICS INVESTIGATION OF DEFORMATION RESPONSE OF THIN-FILM METALLIC NANOSTRUCTURES UNDER HEATING NANOSYSTEMS: PHYSICS, CHEMISTRY, MATHEMATICS, 2011, 2 (2), P. 76 83 UDC 538.97 MOLECULAR DYNAMICS INVESTIGATION OF DEFORMATION RESPONSE OF THIN-FILM METALLIC NANOSTRUCTURES UNDER HEATING I. S. Konovalenko

More information

Paper No. 4071 APPLICATION OF EQCM TO THE STUDY OF CO2 CORROSION

Paper No. 4071 APPLICATION OF EQCM TO THE STUDY OF CO2 CORROSION Paper No. 471 APPLICATION OF EQCM TO THE STUDY OF CO2 CORROSION Yang Yang, Bruce Brown and Srdjan Nešić Institute for Corrosion and Multiphase Technology, Department of Chemical and Biomolecular Engineering

More information

Solid Mechanics. Stress. What you ll learn: Motivation

Solid Mechanics. Stress. What you ll learn: Motivation Solid Mechanics Stress What you ll learn: What is stress? Why stress is important? What are normal and shear stresses? What is strain? Hooke s law (relationship between stress and strain) Stress strain

More information

Stress Relaxation Study of Paper and Plastic Film based Packaging Material

Stress Relaxation Study of Paper and Plastic Film based Packaging Material Master's Degree Thesis ISRN: BTH-AMT-EX--2009/D-02--SE Stress Relaxation Study of Paper and Plastic Film based Packaging Material Rajdip Roy Lu Qi Department of Mechanical Engineering Blekinge Institute

More information

TIE-32: Thermal loads on optical glass

TIE-32: Thermal loads on optical glass PAGE 1/7 1 Introduction In some applications optical glasses have to endure thermal loads: Finishing procedures for optical elements like lenses, prisms, beam splitters and so on involve thermal processes

More information

Defects Introduction. Bonding + Structure + Defects. Properties

Defects Introduction. Bonding + Structure + Defects. Properties Defects Introduction Bonding + Structure + Defects Properties The processing determines the defects Composition Bonding type Structure of Crystalline Processing factors Defects Microstructure Types of

More information

Formation of Oriented Fibers Using Injection of PEO Solutions inside Electric Fields Defined by Two Parallel Suspended Electrodes

Formation of Oriented Fibers Using Injection of PEO Solutions inside Electric Fields Defined by Two Parallel Suspended Electrodes 06 (43)-AF:Modelo-AF 8/20/11 6:41 AM Page 122 Formation of Oriented Fibers Using Injection of PEO Solutions inside Electric Fields Defined by Two Parallel Suspended R. Furlan 1, J. A. M. Rosado 2, A. N.

More information

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS Vojtěch SVATOŠ 1, Jana DRBOHLAVOVÁ 1, Marian MÁRIK 1, Jan PEKÁREK 1, Jana CHOMOCKÁ 1,

More information

IB Chemistry. DP Chemistry Review

IB Chemistry. DP Chemistry Review DP Chemistry Review Topic 1: Quantitative chemistry 1.1 The mole concept and Avogadro s constant Assessment statement Apply the mole concept to substances. Determine the number of particles and the amount

More information

EXPERIMENTAL METHODS IN COLLOIDS AND SURFACES

EXPERIMENTAL METHODS IN COLLOIDS AND SURFACES EXPERIMENTAL METHODS IN COLLOIDS AND SURFACES PARTICLE SURFACE AREA FROM GAS ADSORPTION TYPES OF ADSORPTION Physical adsorption: rapid, depends on adsorbate bulk concentration, multiple molecular layers

More information

DURABILITY OF MORTAR LININGS IN DUCTILE IRON PIPES Durability of mortar linings

DURABILITY OF MORTAR LININGS IN DUCTILE IRON PIPES Durability of mortar linings DURABILITY OF MORTAR LININGS IN DUCTILE IRON PIPES Durability of mortar linings I. S. MELAND SINTEF Civil and Environmental Engineering, Cement and Concrete, Trondheim, Norway Durability of Building Materials

More information

Determining the Right Molding Process for Part Design

Determining the Right Molding Process for Part Design Determining the Right Molding Process for Part Design How RIM Molding Advantages Compare with Traditional Production Technologies Page 2 Introduction This White Paper details the part production processes

More information

Paper 1 (7405/1): Inorganic and Physical Chemistry Mark scheme

Paper 1 (7405/1): Inorganic and Physical Chemistry Mark scheme AQA Qualifications A-level Chemistry Paper (7405/): Inorganic and Physical Chemistry Mark scheme 7405 Specimen paper Version 0.5 MARK SCHEME A-level Chemistry Specimen paper 0. This question is marked

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

States of Matter CHAPTER 10 REVIEW SECTION 1. Name Date Class. Answer the following questions in the space provided.

States of Matter CHAPTER 10 REVIEW SECTION 1. Name Date Class. Answer the following questions in the space provided. CHAPTER 10 REVIEW States of Matter SECTION 1 SHORT ANSWER Answer the following questions in the space provided. 1. Identify whether the descriptions below describe an ideal gas or a real gas. ideal gas

More information

A CRITICAL NATIONAL NEED IDEA

A CRITICAL NATIONAL NEED IDEA A CRITICAL NATIONAL NEED IDEA Advanced Manufacturing Technologies A Solution for Manufacturing High Volume Precision Micro Scale Components Submitted by: Rockford Engineering Associates LLC 605 Fulton

More information

Tensile Testing of Steel

Tensile Testing of Steel C 265 Lab No. 2: Tensile Testing of Steel See web for typical report format including: TITL PAG, ABSTRACT, TABL OF CONTNTS, LIST OF TABL, LIST OF FIGURS 1.0 - INTRODUCTION See General Lab Report Format

More information

Lapping and Polishing Basics

Lapping and Polishing Basics Lapping and Polishing Basics Applications Laboratory Report 54 Lapping and Polishing 1.0: Introduction Lapping and polishing is a process by which material is precisely removed from a workpiece (or specimen)

More information

TiO 2. : Manufacture of Titanium Dioxide. www.rsc.org/learn-chemistry Registered charity number 207890

TiO 2. : Manufacture of Titanium Dioxide. www.rsc.org/learn-chemistry Registered charity number 207890 TiO 2 : Manufacture of Titanium Dioxide www.rsc.org/learn-chemistry Registered charity number 207890 5: Manufacture of titanium dioxide Titanium dioxide has many uses. It is now the common white pigment

More information

ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014

ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014 ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014 Pasi Meriläinen Table of Contents What is ALD? The early years 1st wave In the middle 2nd wave

More information

σ y ( ε f, σ f ) ( ε f

σ y ( ε f, σ f ) ( ε f Typical stress-strain curves for mild steel and aluminum alloy from tensile tests L L( 1 + ε) A = --- A u u 0 1 E l mild steel fracture u ( ε f, f ) ( ε f, f ) ε 0 ε 0.2 = 0.002 aluminum alloy fracture

More information

Texture characteristic of membrane materials ASAP, BET

Texture characteristic of membrane materials ASAP, BET Texture characteristic of membrane materials ASAP, BET Theory Specific surface (surface area) is used for the characterization of many materials. There are various techniques how measure the specific surface

More information

Modification of Graphene Films by Laser-Generated High Energy Particles

Modification of Graphene Films by Laser-Generated High Energy Particles Modification of Graphene Films by Laser-Generated High Energy Particles Elena Stolyarova (Polyakova), Ph.D. ATF Program Advisory and ATF Users Meeting April 2-3, 2009, Berkner Hall, Room B, BNL Department

More information

Laser sintering of greens compacts of MoSi 2

Laser sintering of greens compacts of MoSi 2 Laser sintering of greens compacts of MoSi 2 G. de Vasconcelos 1, R. Cesar Maia 2, C.A.A.Cairo 3, R. Riva 2, N.A.S.Rodrigues 2, F.C.L.Mello 3 Instituto de Estudos Avançados 1, Instituto Tecnológico de

More information

WJM Technologies excellence in material joining

WJM Technologies excellence in material joining Girish P. Kelkar, Ph.D. (562) 743-7576 girish@welding-consultant.com www.welding-consultant.com Weld Cracks An Engineer s Worst Nightmare There are a variety of physical defects such as undercut, insufficient

More information

Solution for Homework #1

Solution for Homework #1 Solution for Homework #1 Chapter 2: Multiple Choice Questions (2.5, 2.6, 2.8, 2.11) 2.5 Which of the following bond types are classified as primary bonds (more than one)? (a) covalent bonding, (b) hydrogen

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

FATIGUE CONSIDERATION IN DESIGN

FATIGUE CONSIDERATION IN DESIGN FATIGUE CONSIDERATION IN DESIGN OBJECTIVES AND SCOPE In this module we will be discussing on design aspects related to fatigue failure, an important mode of failure in engineering components. Fatigue failure

More information

Features of the formation of hydrogen bonds in solutions of polysaccharides during their use in various industrial processes. V.Mank a, O.

Features of the formation of hydrogen bonds in solutions of polysaccharides during their use in various industrial processes. V.Mank a, O. Features of the formation of hydrogen bonds in solutions of polysaccharides during their use in various industrial processes. V.Mank a, O. Melnyk b a National University of life and environmental sciences

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Secondary Ion Mass Spectrometry

Secondary Ion Mass Spectrometry Secondary Ion Mass Spectrometry A PRACTICAL HANDBOOK FOR DEPTH PROFILING AND BULK IMPURITY ANALYSIS R. G. Wilson Hughes Research Laboratories Malibu, California F. A. Stevie AT&T Bell Laboratories Allentown,

More information

Chapter 13 - LIQUIDS AND SOLIDS

Chapter 13 - LIQUIDS AND SOLIDS Chapter 13 - LIQUIDS AND SOLIDS Problems to try at end of chapter: Answers in Appendix I: 1,3,5,7b,9b,15,17,23,25,29,31,33,45,49,51,53,61 13.1 Properties of Liquids 1. Liquids take the shape of their container,

More information

Notes on Polymer Rheology Outline

Notes on Polymer Rheology Outline 1 Why is rheology important? Examples of its importance Summary of important variables Description of the flow equations Flow regimes - laminar vs. turbulent - Reynolds number - definition of viscosity

More information

State of the art in reactive magnetron sputtering

State of the art in reactive magnetron sputtering State of the art in reactive magnetron sputtering T. Nyberg, O. Kappertz, T. Kubart and S. Berg Solid State Electronics, The Ångström Laboratory, Uppsala University, Box 534, S-751 21 Uppsala, Sweden D.

More information

Adsorption at Surfaces

Adsorption at Surfaces Adsorption at Surfaces Adsorption is the accumulation of particles (adsorbate) at a surface (adsorbent or substrate). The reverse process is called desorption. fractional surface coverage: θ = Number of

More information

Objective To conduct Charpy V-notch impact test and determine the ductile-brittle transition temperature of steels.

Objective To conduct Charpy V-notch impact test and determine the ductile-brittle transition temperature of steels. IMPACT TESTING Objective To conduct Charpy V-notch impact test and determine the ductile-brittle transition temperature of steels. Equipment Coolants Standard Charpy V-Notched Test specimens Impact tester

More information

Introduction to Mechanical Behavior of Biological Materials

Introduction to Mechanical Behavior of Biological Materials Introduction to Mechanical Behavior of Biological Materials Ozkaya and Nordin Chapter 7, pages 127-151 Chapter 8, pages 173-194 Outline Modes of loading Internal forces and moments Stiffness of a structure

More information

Science Standard Articulated by Grade Level Strand 5: Physical Science

Science Standard Articulated by Grade Level Strand 5: Physical Science Concept 1: Properties of Objects and Materials Classify objects and materials by their observable properties. Kindergarten Grade 1 Grade 2 Grade 3 Grade 4 PO 1. Identify the following observable properties

More information

Engine Bearing Materials

Engine Bearing Materials Engine Bearing Materials Dr. Dmitri Kopeliovich (Research & Development Manager) The durable operation of an engine bearing is achieved if its materials combine high strength (load capacity, wear resistance,

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Rheological Properties of Topical Formulations

Rheological Properties of Topical Formulations Rheological Properties of Topical Formulations Hemi Nae, PhD Hydan Technologies, Inc. Key Words Complex Modulus, Creep/Recovery, Dilatant Flow, Dynamic Viscosity, Flow, Flow Curve, Flow Models, Frequency

More information

Overview of Topics. Stress-Strain Behavior in Concrete. Elastic Behavior. Non-Linear Inelastic Behavior. Stress Distribution.

Overview of Topics. Stress-Strain Behavior in Concrete. Elastic Behavior. Non-Linear Inelastic Behavior. Stress Distribution. Stress-Strain Behavior in Concrete Overview of Topics EARLY AGE CONCRETE Plastic shrinkage shrinkage strain associated with early moisture loss Thermal shrinkage shrinkage strain associated with cooling

More information