UVM Based Verification of 10 Gigabit Ethernet Transmit

Size: px
Start display at page:

Download "UVM Based Verification of 10 Gigabit Ethernet Transmit"

Transcription

1 IJIRST International Journal for Innovative Research in Science & Technology Volume 1 Issue 10 March 2015 ISSN (online): UVM Based Verification of 10 Gigabit Ethernet Transmit Bua Baker PG Student Department of Electronics & Communication Engineering Gujarat Technological University (GTU) Ahmedabad India Abstract The 10 Gigabit Ethernet Media Access Controller (MAC) typically transmits and receives data between a host workstation and an Ethernet network. The core purpose of the 10 Gigabit MAC is to guarantee that the Media Access regulations detailed in the IEEE802.3ae standard are met while transmitting a frame of data over Ethernet. While on the receive side, the Ethernet MAC will extract the different components of a frame and transfers them to higher applications through a FIFO interface [8]. The Universal Verification Methodology (UVM) offers the most excellent structure to attain coverage driven verification. The coverage driven verification combines automatic test generation, self-checking test benches and coverage metrics to significantly reduce the time spent verifying a Design Under Test (DUT) [2]. Keywords: Gigabit Ethernet MAC, UVM, Design under Test I. INTRODUCTION During the last decades, several verification methodologies have been developed to ease the process of ASIC verification designs. EDA tool vendors usually develop these methodologies which in most cases are not compatible with tools from different vendors [4]. With the introduction of the Open Verification Methodology (OVM) which supports the use of SystemVerilog testbenches, need for verification became more standardized and hence, OVM paved way for Universal Verification Methodology (UVM) which has become an official Accellera standard supported by all EDA tool vendors today[4]. This research presents UVM based Verification process and methodology using SystemVerilog, explains verification strategy and reuse of design environment with reference to verifying the 10 Gigabit Ethernet packets in an Ethernet Intellectual Property (IP) Core [4]. II. UNIVERSAL VERIFICATION METHODOLOGY (UVM) The Universal Verification Methodology (UVM) standard, developed by Accellera's Verification IP (VIP) Technical Subcommittee (TSC), is available as a Class Reference Manual accompanied by an open-source SystemVerilog base class library implementation and a User Guide. The UVM standard establishes a methodology to improve design and verification efficiency, verification data portability and tool, and VIP interoperability[2]. A. UVM Environment Overview: Fig. 1: UVM Environment Overview [1]. All rights reserved by 97

2 Fig. 2: Universal Verification Component (UVC) [1]. 1) Interface UVC: Generates stimulus for an interface (drive), observes interface activity (monitor) and is protocol specific (AXI, USB, etc). 2) Module UVC: It is key for verification and is module specific i.e. performs all device specific operation such as checking, coverage and is responsible for registers. 3) Virtual Sequencer/Sequence: In Interface UVC Sequencer, Sequences are protocol specific i.e. AXI, AHB, PCIe, etc while in Virtual Sequencer, Sequences are not protocol specific, does not deal with sequence items and Controls lower level sequences with virtual sequences i.e. often interface UVC Sequencers [1]. 4) Monitor: Gets transactions from collector or TLM interface, provides coverage, checking and makes transactions available for consumption. 5) Sequence Items: Is the basic building block for Stimulus generation and Coverage (can be data, address, delay, constraints, etc), provides lowest level transaction and can be combined into a sequence. 6) Sequence: Builds transaction from sequence items, builds higher level transaction from sequences and coordinates execution of its items/sub-items 7) Driver: Requests sequence items from sequencer and drives sequence items into interface. When operating on RTL model interface, Converts transactions level to signal level and has a virtual interface to connect to DUT interface 8) Sequencer: Sequencer (producer) creates the stimulus and sends sequence items to driver (consumer). It uses TLM port for connection (Sequencer Driver) and handshake (Sequencer Driver) during communication. 9) Agent: Configures and instantiates subcomponents (Driver, Sequencer, Monitor, Collector) and establishes port connections. Agent can be of types: - RX/TX (Point to Point) and master/slave (Arbitration). 10) Environment: This is the top level of a UVC (Container module/class which has all subcomponents). It is used to configure UVC for application i.e. # agent, agent type, monitor/collector, connections, etc. 11) Scoreboard: Receives transactions from interface UVCs and checks transactions for expected device behaviour. It is likely to include an abstract reference model and is located inside module UVC. 12) DUT Functional Coverage: These are mostly devices specific in terms of their dimensions and operation. 13) Test Bench: The testbench contains all sub-components in which their configuration is done according to a particular need. Connections are provided for exchange of information. 14) Test: The test contains Testbench, configures traffic which is its main purpose and may adjust testbench topology. It gets created in RTL top level and is selected at the simulator command line to provide flexibility [1]. B. The Basic Principles of UVM: 1) Functionality encapsulation: UVM promotes composition and reuse by encapsulating functionality in a basic block called ovm_component. This basic block contains a run task i.e. a functional block that can consume time that acts as an execution thread responsible for implementing functionality as simulation progress [2]. All rights reserved by 98

3 2) Transaction-Level Modeling (TLM): UVM uses TLM standard to describe communication between verification components in a UVM environment. One of the main advantages of using TLM is in abstracting the pin and timing details. A transaction, the unit of information exchange between TLM components, encapsulates the abstract view of stimulus that can be expanded by a lower-level component. 3) Using Sequences For Stimulus Generation: The transactions need to be generated by an entity in the verification environment. UVM allows for flexibility by introducing ovm_sequence, which is a wrapper object around a function called body(). uvm_sequence when started, registers itself with a uvm_sequencer which is a uvm_component that acts as the holder of different sequences and can connect to other uvm_components. The uvm_sequence and uvm_sequencer pair provides the flexibility of running different streams of transactions without having to change the component instantiation [2]. 4) Configurability: An enabler to productivity and reuse, is a key element in UVM. In UVM, user can change the behaviour of an already instantiated component by three means: configuration API, Factory overrides and callbacks. 5) Layering: Layering is a powerful concept in which every level takes care of the details at specific layers. UVM layering can be applied to components which can be called hierarchy and composition, and to configuration and to stimulus. Typically there is a correspondence between layering of components and objects. Layering stimulus, on the other hand, can reduce the complexity of stimulus generation [2]. 6) Emphasis On Reuse (Vertical And Horizontal): Extensibility, configurability and layering facilitate reuse. Horizontal reuse refers to reusing Verification IPs (VIPs) across projects and vertical reuse describes the ability to use block-level VIPs in cluster and chip level verification environments. III. IMPLEMENTATION OF TRANSMIT MAC DESIGN This research document explains the design of the transmit MAC used in the Opencores 10 Gigabit Ethernet project. 10 Gigabit Ethernet is part of the IEEE standard. It is essentially a faster version of the Ethernet where full duplex operation mode is supported. The MAC design is loosely based on the Xilinx LogiCORE 10-Gigabit Ethernet MAC, where the transmitter and the receiver incorporate the reconciliation layer. Therefore the transmit MAC will be specifically designed to interface the client and the physical layer [6]. A. Detailed Transmit module Design: The transmit MAC provides the interface between the client and physical layer. Figure 2 shows a block diagram of the transmit MAC with the interfaces to the client, physical, management and the flow control[3]. Fig. 3: Diagram of the Transmit Block All rights reserved by 99

4 B. Module Design: The transmit MAC contains the following blocks such as input and output FIFO/register, control logic and counters. The input and output FIFO/registers are used to receive data from the client and distribute the data to the physical. All data flow is all under controlled from the control logic. Fig. 4: IEEE 802.3x Frame The tx_ack signal is generated using a type of counter circuitry to compensate when paused frame transmission is invoked by the flow control block or the inter frame delay is set at the start. The assertion of the signal is achieve when the count equal to the delay value. The request from the pause or inter frame will be used to select the counter delay value. The minimum inter frame gap is 96 bits. For a normal transmission, the delay value will be 2 clock cycles [3]. C. Description of the State MachineL A basic block diagram for a normal transmission with FCS supplied. Fig. 5: Block diagram of the transmit process [3]. The control logic is essentially a state machine that controls how the data is output to the physical by selecting between the control bytes and the client data. 1) State Machine Description: IDLE: continue transmitting IDLEs until tx_ack is received. START: transmit the first 64 bit data. This includes start control byte, six preambles and Start Frame Delimiter. DATA: Load data from FIFO. Also check when tx_data_valid is equal to zero, load terminates and IDLE bytes at the appropriate section. PAUSE: Transmit PAUSE Frame. (Need to figure out how to do parallel CRC). D. Detailed Testbench Environment: Fig. 6: Detailed Testbench Architecture All rights reserved by 100

5 IV. RESULT A. Packet Transmit Interface: Fig. 7: Packet Transmit Interface Waveforms Figure 7 above shows a normal transmission from the client-side. FCS has to be generated if it is not included with the data from the client-side. If the data width is below 46 bytes, padding is needed to bring it in line with the minimum frame size. A parallel scheme has to be employed to generate the FCS. B. Packet Receive Interface: Fig. 8: Packet Receive Interface Waveforms V. CONCLUSION This research work presents an overview of the Universal Verification Methodology and its basic principles. Verification is the most essential component in the ASIC and FPDG VIPs designs. Universal Verification Methodology (UVM) is one of the most prominent Verification Methodology for verifying complex VIPs. 10 Gigabit Ethernet Transmit MAC is analyzed with its protocol verified based on the normal testing methods using the test benches. UVM is used to improve the test coverage and its verification environment can be reused for the projects. ACKNOWLEDGEMENT Gujarat Technology University (GTU), CDAC ACTS Pune and Mr. Ashish Prabhu for the support, expertise, resources and contribution towards this research work. REFERENCES [1] [2] Verification Methodology Manual - Janick Bergeron [3] [4] UVM User Guide manual [5] 10G Ethernet Mac System Design Issue 1.0 [6] Xilinx LogiCORE 10-Gigabit Ethernet MAC User Guide [7] IEEE 802.3ae Media Access Control (MAC) Parameters, Physical Layers, and Management Parameters for 10 Gb/s Operation [8] [9] [10] All rights reserved by 101

Introduction to Functional Verification. Niels Burkhardt

Introduction to Functional Verification. Niels Burkhardt Introduction to Functional Verification Overview Verification issues Verification technologies Verification approaches Universal Verification Methodology Conclusion Functional Verification issues Hardware

More information

Design and Verification of Nine port Network Router

Design and Verification of Nine port Network Router Design and Verification of Nine port Network Router G. Sri Lakshmi 1, A Ganga Mani 2 1 Assistant Professor, Department of Electronics and Communication Engineering, Pragathi Engineering College, Andhra

More information

10 Gigabit Ethernet MAC Core for Altera CPLDs. 1 Introduction. Product Brief Version 1.4 - February 2002

10 Gigabit Ethernet MAC Core for Altera CPLDs. 1 Introduction. Product Brief Version 1.4 - February 2002 1 Introduction Initially, network managers use 10 Gigabit Ethernet to provide high-speed, local backbone interconnection between large-capacity switches. 10 Gigabit Ethernet enables Internet Service Providers

More information

CCNA R&S: Introduction to Networks. Chapter 5: Ethernet

CCNA R&S: Introduction to Networks. Chapter 5: Ethernet CCNA R&S: Introduction to Networks Chapter 5: Ethernet 5.0.1.1 Introduction The OSI physical layer provides the means to transport the bits that make up a data link layer frame across the network media.

More information

10/100/1000Mbps Ethernet MAC with Protocol Acceleration MAC-NET Core with Avalon Interface

10/100/1000Mbps Ethernet MAC with Protocol Acceleration MAC-NET Core with Avalon Interface 1 Introduction Ethernet is available in different speeds (10/100/1000 and 10000Mbps) and provides connectivity to meet a wide range of needs from desktop to switches. MorethanIP IP solutions provide a

More information

Gigabit Ethernet MAC. (1000 Mbps Ethernet MAC core with FIFO interface) PRODUCT BRIEF

Gigabit Ethernet MAC. (1000 Mbps Ethernet MAC core with FIFO interface) PRODUCT BRIEF Gigabit Ethernet MAC (1000 Mbps Ethernet MAC core with FIFO interface) PRODUCT BRIEF 1. INTRODUCTION This document serves as a product info for the Gigabit Ethernet MAC from Hitek Systems. The core supports

More information

Universal Flash Storage: Mobilize Your Data

Universal Flash Storage: Mobilize Your Data White Paper Universal Flash Storage: Mobilize Your Data Executive Summary The explosive growth in portable devices over the past decade continues to challenge manufacturers wishing to add memory to their

More information

10 Gigabit Ethernet: Scaling across LAN, MAN, WAN

10 Gigabit Ethernet: Scaling across LAN, MAN, WAN Arasan Chip Systems Inc. White Paper 10 Gigabit Ethernet: Scaling across LAN, MAN, WAN By Dennis McCarty March 2011 Overview Ethernet is one of the few protocols that has increased its bandwidth, while

More information

SDLC Controller. Documentation. Design File Formats. Verification

SDLC Controller. Documentation. Design File Formats. Verification January 15, 2004 Product Specification 11 Stonewall Court Woodcliff Lake, NJ 07677 USA Phone: +1-201-391-8300 Fax: +1-201-391-8694 E-mail: info@cast-inc.com URL: www.cast-inc.com Features AllianceCORE

More information

10/100 Mbps Ethernet MAC

10/100 Mbps Ethernet MAC XSV Board 1.0 HDL Interfaces and Example Designs 10/100 Mbps Ethernet MAC VLSI Research Group Electrical Engineering Bandung Institute of Technology, Bandung, Indonesia Last Modified: 20 September 2001

More information

Using a Generic Plug and Play Performance Monitor for SoC Verification

Using a Generic Plug and Play Performance Monitor for SoC Verification Using a Generic Plug and Play Performance Monitor for SoC Verification Dr. Ambar Sarkar Kaushal Modi Janak Patel Bhavin Patel Ajay Tiwari Accellera Systems Initiative 1 Agenda Introduction Challenges Why

More information

Using FPGAs to Design Gigabit Serial Backplanes. April 17, 2002

Using FPGAs to Design Gigabit Serial Backplanes. April 17, 2002 Using FPGAs to Design Gigabit Serial Backplanes April 17, 2002 Outline System Design Trends Serial Backplanes Architectures Building Serial Backplanes with FPGAs A1-2 Key System Design Trends Need for.

More information

Data Link Protocols. TCP/IP Suite and OSI Reference Model

Data Link Protocols. TCP/IP Suite and OSI Reference Model Data Link Protocols Relates to Lab. This module covers data link layer issues, such as local area networks (LANs) and point-to-point links, Ethernet, and the Point-to-Point Protocol (PPP). 1 TCP/IP Suite

More information

A low-cost, connection aware, load-balancing solution for distributing Gigabit Ethernet traffic between two intrusion detection systems

A low-cost, connection aware, load-balancing solution for distributing Gigabit Ethernet traffic between two intrusion detection systems Iowa State University Digital Repository @ Iowa State University Graduate Theses and Dissertations Graduate College 2010 A low-cost, connection aware, load-balancing solution for distributing Gigabit Ethernet

More information

10/100/1000 Ethernet MAC with Protocol Acceleration MAC-NET Core

10/100/1000 Ethernet MAC with Protocol Acceleration MAC-NET Core 1 Introduction The implements, in conjunction with a triple speed 10/100/1000 MAC, Layer 3 network acceleration functions, which are designed to accelerate the processing of various common networking protocols

More information

Ethernet. Ethernet Frame Structure. Ethernet Frame Structure (more) Ethernet: uses CSMA/CD

Ethernet. Ethernet Frame Structure. Ethernet Frame Structure (more) Ethernet: uses CSMA/CD Ethernet dominant LAN technology: cheap -- $20 for 100Mbs! first widely used LAN technology Simpler, cheaper than token rings and ATM Kept up with speed race: 10, 100, 1000 Mbps Metcalfe s Etheret sketch

More information

1394 Bus Analyzers. Usage Analysis, Key Features and Cost Savings. Background. Usage Segmentation

1394 Bus Analyzers. Usage Analysis, Key Features and Cost Savings. Background. Usage Segmentation 1394 Bus Analyzers Usage Analysis, Key Features and Cost Savings By Dr. Michael Vonbank DapUSA Inc., and Dr. Kurt Böhringer, Hitex Development Tools GmbH Background When developing products based on complex

More information

DESIGN AND VERIFICATION OF LSR OF THE MPLS NETWORK USING VHDL

DESIGN AND VERIFICATION OF LSR OF THE MPLS NETWORK USING VHDL IJVD: 3(1), 2012, pp. 15-20 DESIGN AND VERIFICATION OF LSR OF THE MPLS NETWORK USING VHDL Suvarna A. Jadhav 1 and U.L. Bombale 2 1,2 Department of Technology Shivaji university, Kolhapur, 1 E-mail: suvarna_jadhav@rediffmail.com

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

Fibre Channel over Ethernet in the Data Center: An Introduction

Fibre Channel over Ethernet in the Data Center: An Introduction Fibre Channel over Ethernet in the Data Center: An Introduction Introduction Fibre Channel over Ethernet (FCoE) is a newly proposed standard that is being developed by INCITS T11. The FCoE protocol specification

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

The structured application of advanced logging techniques for SystemVerilog testbench debug and analysis. By Bindesh Patel and Amanda Hsiao.

The structured application of advanced logging techniques for SystemVerilog testbench debug and analysis. By Bindesh Patel and Amanda Hsiao. Logging makes sense for testbench debug The structured application of advanced logging techniques for SystemVerilog testbench debug and analysis. By Bindesh Patel and Amanda Hsiao. SystemVerilog provides

More information

WHITE PAPER. Enabling 100 Gigabit Ethernet Implementing PCS Lanes

WHITE PAPER. Enabling 100 Gigabit Ethernet Implementing PCS Lanes WHITE PAPER Enabling 100 Gigabit Ethernet Implementing PCS Lanes www.ixiacom.com 915-0909-01 Rev. C, January 2014 2 Table of Contents Introduction... 4 The IEEE 802.3 Protocol Stack... 4 PCS Layer Functions...

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

Measurement and Analysis Introduction of ISO7816 (Smart Card)

Measurement and Analysis Introduction of ISO7816 (Smart Card) Measurement and Analysis Introduction of ISO7816 (Smart Card) ISO 7816 is an international standard related to electronic identification cards with contacts, especially smart cards, managed jointly by

More information

ESSENTIALS. Understanding Ethernet Switches and Routers. April 2011 VOLUME 3 ISSUE 1 A TECHNICAL SUPPLEMENT TO CONTROL NETWORK

ESSENTIALS. Understanding Ethernet Switches and Routers. April 2011 VOLUME 3 ISSUE 1 A TECHNICAL SUPPLEMENT TO CONTROL NETWORK VOLUME 3 ISSUE 1 A TECHNICAL SUPPLEMENT TO CONTROL NETWORK Contemporary Control Systems, Inc. Understanding Ethernet Switches and Routers This extended article was based on a two-part article that was

More information

RFC 2544 Testing of Ethernet Services in Telecom Networks

RFC 2544 Testing of Ethernet Services in Telecom Networks RFC 2544 Testing of Ethernet Services in Telecom Networks White Paper Nigel Burgess Agilent Technologies Introduction The object of this paper is to discuss the use and testing of Ethernet services in

More information

40 Gigabit Ethernet and 100 Gigabit Ethernet Technology Overview

40 Gigabit Ethernet and 100 Gigabit Ethernet Technology Overview 40 Gigabit Ethernet and 100 Gigabit Ethernet Technology Overview June 2010 Authors: John D Ambrosia, Force10 Networks David Law, 3COM Mark Nowell, Cisco Systems 1. This work represents the opinions of

More information

Using a Generic Plug and Play Performance Monitor for SoC Verification

Using a Generic Plug and Play Performance Monitor for SoC Verification Using a Generic Plug and Play Performance Monitor for SoC Verification Ajay Tiwari, ASIC Engineer, einfochips, Ahmedabad, India (ajay.tiwari@einfochips.com) Bhavin Patel, ASIC Engineer, einfochips, Ahmedabad,

More information

SFWR 4C03: Computer Networks & Computer Security Jan 3-7, 2005. Lecturer: Kartik Krishnan Lecture 1-3

SFWR 4C03: Computer Networks & Computer Security Jan 3-7, 2005. Lecturer: Kartik Krishnan Lecture 1-3 SFWR 4C03: Computer Networks & Computer Security Jan 3-7, 2005 Lecturer: Kartik Krishnan Lecture 1-3 Communications and Computer Networks The fundamental purpose of a communication network is the exchange

More information

LogiCORE IP AXI Performance Monitor v2.00.a

LogiCORE IP AXI Performance Monitor v2.00.a LogiCORE IP AXI Performance Monitor v2.00.a Product Guide Table of Contents IP Facts Chapter 1: Overview Target Technology................................................................. 9 Applications......................................................................

More information

CMA5000 SPECIFICATIONS. 5710 Gigabit Ethernet Module

CMA5000 SPECIFICATIONS. 5710 Gigabit Ethernet Module CMA5000 5710 Gigabit Ethernet Module SPECIFICATIONS General Description The CMA5710 Gigabit Ethernet application is a single slot module that can be used in any CMA 5000. The Gigabit Ethernet test module

More information

Serial port interface for microcontroller embedded into integrated power meter

Serial port interface for microcontroller embedded into integrated power meter Serial port interface for microcontroller embedded into integrated power meter Mr. Borisav Jovanović, Prof. dr. Predrag Petković, Prof. dr. Milunka Damnjanović, Faculty of Electronic Engineering Nis, Serbia

More information

AXI Performance Monitor v5.0

AXI Performance Monitor v5.0 AXI Performance Monitor v5.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Advanced Mode...................................................................

More information

Protocols and Architecture. Protocol Architecture.

Protocols and Architecture. Protocol Architecture. Protocols and Architecture Protocol Architecture. Layered structure of hardware and software to support exchange of data between systems/distributed applications Set of rules for transmission of data between

More information

Communications and Computer Networks

Communications and Computer Networks SFWR 4C03: Computer Networks and Computer Security January 5-8 2004 Lecturer: Kartik Krishnan Lectures 1-3 Communications and Computer Networks The fundamental purpose of a communication system is the

More information

Gigabit Ethernet. Today a number of technologies, such as 10BaseT, Auto-Negotiation

Gigabit Ethernet. Today a number of technologies, such as 10BaseT, Auto-Negotiation Gigabit Ethernet Auto-Negotiation By Rich Hernandez The Auto-Negotiation standard allows devices based on several Ethernet standards, from 10BaseT to 1000BaseT, to coexist in the network by mitigating

More information

Manchester Encoder-Decoder for Xilinx CPLDs

Manchester Encoder-Decoder for Xilinx CPLDs Application Note: CoolRunner CPLDs R XAPP339 (v.3) October, 22 Manchester Encoder-Decoder for Xilinx CPLDs Summary This application note provides a functional description of VHDL and Verilog source code

More information

Switch Fabric Implementation Using Shared Memory

Switch Fabric Implementation Using Shared Memory Order this document by /D Switch Fabric Implementation Using Shared Memory Prepared by: Lakshmi Mandyam and B. Kinney INTRODUCTION Whether it be for the World Wide Web or for an intra office network, today

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

AGIPD Interface Electronic Prototyping

AGIPD Interface Electronic Prototyping AGIPD Interface Electronic Prototyping P.Goettlicher I. Sheviakov M. Zimmer - Hardware Setup, Measurements - ADC (AD9252 14bit x 8ch x 50msps ) readout - Custom 10G Ethernet performance - Conclusions Test

More information

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor Von der Hardware zur Software in FPGAs mit Embedded Prozessoren Alexander Hahn Senior Field Application Engineer Lattice Semiconductor AGENDA Overview Mico32 Embedded Processor Development Tool Chain HW/SW

More information

8 Gbps CMOS interface for parallel fiber-optic interconnects

8 Gbps CMOS interface for parallel fiber-optic interconnects 8 Gbps CMOS interface for parallel fiberoptic interconnects Barton Sano, Bindu Madhavan and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California

More information

Network Monitoring White Paper

Network Monitoring White Paper Network ing White Paper ImageStream Internet Solutions, Inc. 7900 East 8th Road Plymouth, Indiana 46563 http://www.imagestream.com info@imagestream.com Phone: 574.935.8484 Sales: 800.813.5123 Fax: 574.935.8488

More information

8-ch RAID0 Design by using SATA Host IP Manual Rev1.0 9-Jun-15

8-ch RAID0 Design by using SATA Host IP Manual Rev1.0 9-Jun-15 8-ch RAID0 Design by using SATA Host IP Manual Rev1.0 9-Jun-15 1 Overview RAID0 system uses multiple storages to extend total storage capacity and increase write/read performance to be N times. Assumed

More information

ALL-AIO-2321P ZERO CLIENT

ALL-AIO-2321P ZERO CLIENT ALL-AIO-2321P ZERO CLIENT PCoIP AIO Zero Client The PCoIPTM technology is designed to deliver a user s desktop from a centralized host PC or server with an immaculate, uncompromised end user experience

More information

Link Aggregation and its Applications

Link Aggregation and its Applications Link Aggregation White Paper Link Aggregation and its Applications Asante 47709 Fremont Blvd Fremont, CA 94538 USA SALES 408-435-8388 TECHNICAL SUPPORT 408-435-8388: Worldwide www.asante.com/support support@asante.com

More information

Making Ethernet Over SONET Fit a Transport Network Operations Model

Making Ethernet Over SONET Fit a Transport Network Operations Model Making Over SONET Fit a Transport Network Operations Model Introduction Many carriers deploy or evaluate deployment of EoS as a private line offering to enhance existing service offerings. Service definitions

More information

IEEE p1394c: 1394 with 1000BASE-T PHY Technology. Kevin Brown kbrown@broadcom.com

IEEE p1394c: 1394 with 1000BASE-T PHY Technology. Kevin Brown kbrown@broadcom.com IEEE p1394c: 1394 with 1000BASE-T PHY Technology Kevin Brown kbrown@broadcom.com IEEE Working Group 1394c Charter Bob Davis, Chair of the Microcomputer Standards Committee of the IEEE approved the formation

More information

High-Speed SERDES Interfaces In High Value FPGAs

High-Speed SERDES Interfaces In High Value FPGAs High-Speed SERDES Interfaces In High Value FPGAs February 2009 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 High-Speed SERDES

More information

A Protocol Based Packet Sniffer

A Protocol Based Packet Sniffer Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 3, March 2015,

More information

Performance Evaluation of Wired and Wireless Local Area Networks

Performance Evaluation of Wired and Wireless Local Area Networks International Journal of Engineering Research and Development ISSN: 2278-067X, Volume 1, Issue 11 (July 2012), PP.43-48 www.ijerd.com Performance Evaluation of Wired and Wireless Local Area Networks Prof.

More information

ECE 358: Computer Networks. Homework #3. Chapter 5 and 6 Review Questions 1

ECE 358: Computer Networks. Homework #3. Chapter 5 and 6 Review Questions 1 ECE 358: Computer Networks Homework #3 Chapter 5 and 6 Review Questions 1 Chapter 5: The Link Layer P26. Let's consider the operation of a learning switch in the context of a network in which 6 nodes labeled

More information

ARM Ltd 110 Fulbourn Road, Cambridge, CB1 9NJ, UK. *peter.harrod@arm.com

ARM Ltd 110 Fulbourn Road, Cambridge, CB1 9NJ, UK. *peter.harrod@arm.com Serial Wire Debug and the CoreSight TM Debug and Trace Architecture Eddie Ashfield, Ian Field, Peter Harrod *, Sean Houlihane, William Orme and Sheldon Woodhouse ARM Ltd 110 Fulbourn Road, Cambridge, CB1

More information

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU ESE566 REPORT3 Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU Nov 19th, 2002 ABSTRACT: In this report, we discuss several recent published papers on design methodologies of core-based

More information

PART OF THE PICTURE: The TCP/IP Communications Architecture

PART OF THE PICTURE: The TCP/IP Communications Architecture PART OF THE PICTURE: The / Communications Architecture 1 PART OF THE PICTURE: The / Communications Architecture BY WILLIAM STALLINGS The key to the success of distributed applications is that all the terminals

More information

Network Layer: Network Layer and IP Protocol

Network Layer: Network Layer and IP Protocol 1 Network Layer: Network Layer and IP Protocol Required reading: Garcia 7.3.3, 8.1, 8.2.1 CSE 3213, Winter 2010 Instructor: N. Vlajic 2 1. Introduction 2. Router Architecture 3. Network Layer Protocols

More information

The Looming Ethernet MAC Address Crisis

The Looming Ethernet MAC Address Crisis The Looming Ethernet MAC Address Crisis Don Pannell Principal Systems Architect dpannell@marvell.com Ethernet & IP Automotive Tech Day October 2014 What Ethernet MAC Address Crisis? Didn t IPv6 solve the

More information

Hello, and welcome to this presentation of the STM32 SDMMC controller module. It covers the main features of the controller which is used to connect

Hello, and welcome to this presentation of the STM32 SDMMC controller module. It covers the main features of the controller which is used to connect Hello, and welcome to this presentation of the STM32 SDMMC controller module. It covers the main features of the controller which is used to connect the CPU to an SD card, MMC card, or an SDIO device.

More information

Based on Computer Networking, 4 th Edition by Kurose and Ross

Based on Computer Networking, 4 th Edition by Kurose and Ross Computer Networks Ethernet Hubs and Switches Based on Computer Networking, 4 th Edition by Kurose and Ross Ethernet dominant wired LAN technology: cheap $20 for NIC first widely used LAN technology Simpler,

More information

FPGA Implementation of IP Packet Segmentation and Reassembly in Internet Router*

FPGA Implementation of IP Packet Segmentation and Reassembly in Internet Router* SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 6, No. 3, December 2009, 399-407 UDK: 004.738.5.057.4 FPGA Implementation of IP Packet Segmentation and Reassembly in Internet Router* Marko Carević 1,a,

More information

Chapter 2 - The TCP/IP and OSI Networking Models

Chapter 2 - The TCP/IP and OSI Networking Models Chapter 2 - The TCP/IP and OSI Networking Models TCP/IP : Transmission Control Protocol/Internet Protocol OSI : Open System Interconnection RFC Request for Comments TCP/IP Architecture Layers Application

More information

MicroBlaze Debug Module (MDM) v3.2

MicroBlaze Debug Module (MDM) v3.2 MicroBlaze Debug Module (MDM) v3.2 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

VHDL Test Bench Tutorial

VHDL Test Bench Tutorial University of Pennsylvania Department of Electrical and Systems Engineering ESE171 - Digital Design Laboratory VHDL Test Bench Tutorial Purpose The goal of this tutorial is to demonstrate how to automate

More information

Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip

Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip Ms Lavanya Thunuguntla 1, Saritha Sapa 2 1 Associate Professor, Department of ECE, HITAM, Telangana

More information

Tutorial. www.ccontrols.com

Tutorial. www.ccontrols.com Tutorial 1 Tutorial CONTROLLER AREA NETWORK CAN was designed by Bosch and is currently described by ISO 11898 1. In terms of the Open Systems Interconnection model (OSI), CAN partially defines the services

More information

Computer Network. Interconnected collection of autonomous computers that are able to exchange information

Computer Network. Interconnected collection of autonomous computers that are able to exchange information Introduction Computer Network. Interconnected collection of autonomous computers that are able to exchange information No master/slave relationship between the computers in the network Data Communications.

More information

Ring Local Area Network. Ring LANs

Ring Local Area Network. Ring LANs Ring Local Area Network Ring interface (1-bit buffer) Ring interface To station From station Ring LANs The ring is a series of bit repeaters, each connected by a unidirectional transmission link All arriving

More information

Gigabit Ethernet Packet Capture. User s Guide

Gigabit Ethernet Packet Capture. User s Guide Gigabit Ethernet Packet Capture User s Guide Copyrights Copyright 2008 CACE Technologies, Inc. All rights reserved. This document may not, in whole or part, be: copied; photocopied; reproduced; translated;

More information

Design and Functional Verification of A SPI Master Slave Core Using System Verilog

Design and Functional Verification of A SPI Master Slave Core Using System Verilog International Journal of Soft Computing and Engineering (IJSCE) ISSN: 2231-2307, Volume-2, Issue-2, May 2012 Design and Functional Verification of A SPI Master Slave Core Using System Verilog K.Aditya,M.Sivakumar,

More information

Process Control and Automation using Modbus Protocol

Process Control and Automation using Modbus Protocol Process Control and Automation using Modbus Protocol Modbus is the fundamental network protocol used in most industrial applications today. It is universal, open and an easy to use protocol. Modbus has

More information

Troubleshooting and Auto-Negotiation Features for IMC Networks Media Conversion Products

Troubleshooting and Auto-Negotiation Features for IMC Networks Media Conversion Products Troubleshooting and Auto-Negotiation Features for IMC Networks Media Conversion Products Table of Contents Introduction...................................................................... 1 About Link

More information

AFDX networks. Computers and Real-Time Group, University of Cantabria

AFDX networks. Computers and Real-Time Group, University of Cantabria AFDX networks By: J. Javier Gutiérrez (gutierjj@unican.es) Computers and Real-Time Group, University of Cantabria ArtistDesign Workshop on Real-Time System Models for Schedulability Analysis Santander,

More information

The Answer to the 14 Most Frequently Asked Modbus Questions

The Answer to the 14 Most Frequently Asked Modbus Questions Modbus Frequently Asked Questions WP-34-REV0-0609-1/7 The Answer to the 14 Most Frequently Asked Modbus Questions Exactly what is Modbus? Modbus is an open serial communications protocol widely used in

More information

The changes in each specification and how they compare is shown in the table below. Following the table is a discussion of each of these changes.

The changes in each specification and how they compare is shown in the table below. Following the table is a discussion of each of these changes. Introduction There are many interconnect technologies connect components in a system and an embedded designer is faced with an array of standards and technologies choose from. This paper explores the latest

More information

CONTROL MICROSYSTEMS DNP3. User and Reference Manual

CONTROL MICROSYSTEMS DNP3. User and Reference Manual DNP3 User and Reference Manual CONTROL MICROSYSTEMS SCADA products... for the distance 48 Steacie Drive Telephone: 613-591-1943 Kanata, Ontario Facsimile: 613-591-1022 K2K 2A9 Technical Support: 888-226-6876

More information

Configuring EtherChannel and 802.1Q Trunking Between Catalyst L2 Fixed Configuration Switches and Catalyst Switches Running CatOS

Configuring EtherChannel and 802.1Q Trunking Between Catalyst L2 Fixed Configuration Switches and Catalyst Switches Running CatOS Configuring EtherChannel and 802.1Q Trunking Between Catalyst L2 Fixed Configuration Switches and Catalyst Switches Running CatOS Document ID: 23408 Contents Introduction Prerequisites Requirements Components

More information

Guide to TCP/IP, Third Edition. Chapter 3: Data Link and Network Layer TCP/IP Protocols

Guide to TCP/IP, Third Edition. Chapter 3: Data Link and Network Layer TCP/IP Protocols Guide to TCP/IP, Third Edition Chapter 3: Data Link and Network Layer TCP/IP Protocols Objectives Understand the role that data link protocols, such as SLIP and PPP, play for TCP/IP Distinguish among various

More information

Hardware Implementation of Improved Adaptive NoC Router with Flit Flow History based Load Balancing Selection Strategy

Hardware Implementation of Improved Adaptive NoC Router with Flit Flow History based Load Balancing Selection Strategy Hardware Implementation of Improved Adaptive NoC Rer with Flit Flow History based Load Balancing Selection Strategy Parag Parandkar 1, Sumant Katiyal 2, Geetesh Kwatra 3 1,3 Research Scholar, School of

More information

A Dell Technical White Paper Dell PowerConnect Team

A Dell Technical White Paper Dell PowerConnect Team Flow Control and Network Performance A Dell Technical White Paper Dell PowerConnect Team THIS WHITE PAPER IS FOR INFORMATIONAL PURPOSES ONLY, AND MAY CONTAIN TYPOGRAPHICAL ERRORS AND TECHNICAL INACCURACIES.

More information

ALL-ZC-2140P-DVI PCoIP Zero Client Overview

ALL-ZC-2140P-DVI PCoIP Zero Client Overview ALL-ZC-2140P-DVI PCoIP Zero Client Overview TERA2140 DVI PCoIP Zero Client Overview Teradici is the developer of the PC-over-IP (PCoIP) remote desktop protocol, which is leveraged in several VDI solutions

More information

Introduction to Ethernet

Introduction to Ethernet Technical Tutorial 2002 12-06 Table of Contents 1: Introduction 2: Ethernet 3: IEEE standards 4: Topology 5: CSMA/CD 6: Wireless-LAN 7: Transmission Speed 8: Limitations of Ethernet 9: Sena Products and

More information

Configuring QoS. Understanding QoS CHAPTER

Configuring QoS. Understanding QoS CHAPTER 24 CHAPTER This chapter describes how to configure quality of service (QoS) by using standard QoS commands. With QoS, you can give preferential treatment to certain types of traffic at the expense of others.

More information

Gigabit Ethernet: Architectural Design and Issues

Gigabit Ethernet: Architectural Design and Issues Gigabit Ethernet: Architectural Design and Issues Professor of Computer and Information Sciences Columbus, OH 43210 http://www.cis.ohio-state.edu/~jain/ 9-1 Overview Distance-Bandwidth Principle 10 Mbps

More information

Networking Test 4 Study Guide

Networking Test 4 Study Guide Networking Test 4 Study Guide True/False Indicate whether the statement is true or false. 1. IPX/SPX is considered the protocol suite of the Internet, and it is the most widely used protocol suite in LANs.

More information

100-101: Interconnecting Cisco Networking Devices Part 1 v2.0 (ICND1)

100-101: Interconnecting Cisco Networking Devices Part 1 v2.0 (ICND1) 100-101: Interconnecting Cisco Networking Devices Part 1 v2.0 (ICND1) Course Overview This course provides students with the knowledge and skills to implement and support a small switched and routed network.

More information

Fast Ethernet and Gigabit Ethernet. Networks: Fast Ethernet 1

Fast Ethernet and Gigabit Ethernet. Networks: Fast Ethernet 1 Fast Ethernet and Gigabit Ethernet Networks: Fast Ethernet 1 Fast Ethernet (100BASE-T) How to achieve 100 Mbps capacity? MII LLC MAC Convergence Sublayer Media Independent Interface Media Dependent Sublayer

More information

IT4504 - Data Communication and Networks (Optional)

IT4504 - Data Communication and Networks (Optional) - Data Communication and Networks (Optional) INTRODUCTION This is one of the optional courses designed for Semester 4 of the Bachelor of Information Technology Degree program. This course on Data Communication

More information

10-/100-Mbps Ethernet Media Access Controller (MAC) Core

10-/100-Mbps Ethernet Media Access Controller (MAC) Core 10-/100-Mbps Ethernet Media Access Controller (MAC) Core Preliminary Product Brief December 1998 Description The Ethernet Media Access Controller (MAC) core is a high-performance core with a low gate count,

More information

Decomposition into Parts. Software Engineering, Lecture 4. Data and Function Cohesion. Allocation of Functions and Data. Component Interfaces

Decomposition into Parts. Software Engineering, Lecture 4. Data and Function Cohesion. Allocation of Functions and Data. Component Interfaces Software Engineering, Lecture 4 Decomposition into suitable parts Cross cutting concerns Design patterns I will also give an example scenario that you are supposed to analyse and make synthesis from The

More information

CREW - FP7 - GA No. 258301. Cognitive Radio Experimentation World. Project Deliverable D7.5.4 Showcase of experiment ready (Demonstrator)

CREW - FP7 - GA No. 258301. Cognitive Radio Experimentation World. Project Deliverable D7.5.4 Showcase of experiment ready (Demonstrator) Cognitive Radio Experimentation World!"#$% Project Deliverable Showcase of experiment ready (Demonstrator) Contractual date of delivery: 31-03-14 Actual date of delivery: 18-04-14 Beneficiaries: Lead beneficiary:

More information

The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links. Filippo Costa on behalf of the ALICE DAQ group

The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links. Filippo Costa on behalf of the ALICE DAQ group The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links Filippo Costa on behalf of the ALICE DAQ group DATE software 2 DATE (ALICE Data Acquisition and Test Environment) ALICE is a

More information

Transport Layer Protocols

Transport Layer Protocols Transport Layer Protocols Version. Transport layer performs two main tasks for the application layer by using the network layer. It provides end to end communication between two applications, and implements

More information

Application Note 132. Introduction. Voice Video and Data Communications using a 2-Port Switch and Generic Bus Interface KSZ8842-16MQL/MVL

Application Note 132. Introduction. Voice Video and Data Communications using a 2-Port Switch and Generic Bus Interface KSZ8842-16MQL/MVL Application Note 132 Voice Video and Data Communications using a 2-Port Switch and Generic Bus Interface KSZ42-16MQL/MVL Introduction The IP-Telephony market is booming, due to the ease of use of the technology

More information

High Speed Ethernet. Dr. Sanjay P. Ahuja, Ph.D. Professor School of Computing, UNF

High Speed Ethernet. Dr. Sanjay P. Ahuja, Ph.D. Professor School of Computing, UNF High Speed Ethernet Dr. Sanjay P. Ahuja, Ph.D. Professor School of Computing, UNF Hubs and Switches Hubs and Switches Shared Medium Hub The total capacity in the shared medium hub configuration (figure

More information

The Advanced JTAG Bridge. Nathan Yawn nathan.yawn@opencores.org 05/12/09

The Advanced JTAG Bridge. Nathan Yawn nathan.yawn@opencores.org 05/12/09 The Advanced JTAG Bridge Nathan Yawn nathan.yawn@opencores.org 05/12/09 Copyright (C) 2008-2009 Nathan Yawn Permission is granted to copy, distribute and/or modify this document under the terms of the

More information

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Question Bank Subject Name: EC6504 - Microprocessor & Microcontroller Year/Sem : II/IV

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Question Bank Subject Name: EC6504 - Microprocessor & Microcontroller Year/Sem : II/IV DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Question Bank Subject Name: EC6504 - Microprocessor & Microcontroller Year/Sem : II/IV UNIT I THE 8086 MICROPROCESSOR 1. What is the purpose of segment registers

More information

DE4 NetFPGA Packet Generator Design User Guide

DE4 NetFPGA Packet Generator Design User Guide DE4 NetFPGA Packet Generator Design User Guide Revision History Date Comment Author 01/30/2012 Initial draft Harikrishnan Contents 1. Introduction... 4 2. System Requirements... 4 3. Installing DE4 NetFPGA

More information

784 INTELLIGENT TRANSPORTATION SYSTEMS NETWORK DEVICES. (REV 11-17-11) (FA 1-5-12) (7-12)

784 INTELLIGENT TRANSPORTATION SYSTEMS NETWORK DEVICES. (REV 11-17-11) (FA 1-5-12) (7-12) 784 INTELLIGENT TRANSPORTATION SYSTEMS NETWORK DEVICES. (REV 11-17-11) (FA 1-5-12) (7-12) SUBARTICLE 784-1.2.2 (of the Supplemental Specifications) is deleted and the 784-1.2.2 Networking Standards: Ensure

More information

THE OSI REFERENCE MODEL LES M C LELLAN DEAN WHITTAKER SANDY WORKMAN

THE OSI REFERENCE MODEL LES M C LELLAN DEAN WHITTAKER SANDY WORKMAN THE OSI REFERENCE MODEL LES M C LELLAN DEAN WHITTAKER SANDY WORKMAN OVERVIEW THE NEED FOR STANDARDS OSI - ORGANISATION FOR STANDARDISATION THE OSI REFERENCE MODEL A LAYERED NETWORK MODEL THE SEVEN OSI

More information