Pipe Line Efficiency

Size: px
Start display at page:

Download "Pipe Line Efficiency"

Transcription

1 Pipe Line Efficiency

2 2 PipeLine Pipeline efficiency Pipeline CPI = Ideal pipeline CPI + Structural Stalls + Data Hazard Stalls + Control Stalls Ideal pipeline CPI: best possible (1 as n ) Structural hazards: Insufficient hardware Data hazards: Need results of earlier calculations Control hazards: Need to foretell the future. Branches and jumps Instruction-Level Parallelism (ILP): Seeks to overlap instruction execution Hardware: dynamically runtime Software: statically compile time Simplest is Loop Level Parallelism

3 3 Loops Loop level parallelism Dynamic: branch prediction Static: Loop unrolling Dependence independent/parallel. Simultaneous execution possible. Can be placed in a pipeline, with only (possibly) structural hazards. dependent.. Must occur in order; partial overlap possible Dependent: if instruction2 uses result of instruction 1. Data Hazard. Read after Write Hazard : RAW Hazard Preserve order only where vital Hardware and Software must produce the same result as strict sequential execution. Actual hazard: existence; stall length. Depends on implementation of pipeline. Dependence in program indicates potential for hazard. stipulates an order upper bound on possible performance May not be correct, if code is not correct

4 4 Dependence Name dependence Also called anti-dependence. When a memory location or register is re-used. Means instruction 2 may write before instruction 1 has used the value Write after Read, WAR hazard. Or instruction 1 may write after instruction 2 has written, but before the subsequent use is made of the data Write after Write, WAW hazard. Both may be resolved by using a separate register. register renaming (hardware or software) Preserve order only where vital Control Dependence if then else blocks Often blocks can be executed ignoring conditions, if we can throw away the results. Ensure the system is completely unaffected by unwanted calculations. Need to handle exceptions and ensure correct data flow May not be correct, if code is not correct

5 5 Loops Assembler for (int pnt=1000; pnt>0; pnt--) { arr[pnt] = arr[pnt] + offset; } This Java loop will compile to something like lw $r2, offset; Loop: lw $r3, 0($r1); offset arrel element add $r4,$r3, $r2; add sw 0($r1), $r4; store result addi $r1, $r1, -4; decrement pnt bnez $r1, Loop continue Why one stall and then two MIPS 5 step pipeline becomes lw $r2, offset; Loop: lw $r3, 0($r1); stall waiting for $r3 add $r4,$r3, $r2; stall waiting for $r4 stall sw 0($r1), $r4; addi $r1, $r1, -4; stall no forward to bnch bnez $r1, Loop; May not be correct, if code is not correct

6 6 Loops Re-ordering lw $r2, offset; Loop: lw $r3, 0($r1); stall waiting for $r3 add $r4,$r3, $r2; stall waiting for $r4 stall sw 0($r1), $r4; addi $r1, $r1, -4; stall no forward to bnch bnez $r1, Loop; 9 cycles lw $r2, offset; Loop: lw $r3, 0($r1); addi $r1, $r1, -4; decrement early add $r4,$r3, $r2; removes stall stall waiting for $r4 stall sw 8($r1), $r4; displacement bnez $r1, Loop; $r1 already done Reordered 7 cycles

7 7 Loops Unrolling lw $r2, offset; Loop: lw $r3, 0($r1); stall stall comes back add $r4,$r3, $r2; stall*2 sw 0($r1), $r4; lw $r2, offset; second iteration sw -4($r1), $r4; lw $r2, offset; third iteration sw -8($r1), $r4; lw $r3, -12($r1); stall add $r4,$r3, $r2; stall*2 sw -12($r1), $r4; addi $r1, $r1, -16; decrement 4 times bnez $r1, Loop; Unrolled 26 cycles 6.5 per iteration Harder if total number is not divisible by the unroll number

8 8 Loops General upper bound U Loop unroll m times. Execute unrolled loop U/m and original loop U mod m. Optimise unrolled loop lw $r2, offset; Loop: lw $r7, 0($r1); lw $r8, -4($r1); lw $r9, -8($r1); lw $10, -12($r1); addi $r1, $r1, -16; decrement 4 times add $r3,$r7, $r2; stall hidden add $r4,$r8, $r2; add $r5,$r9, $r2; add $r6,$r10, $r2; sw 0($r1), $r3; sw 4($r1), $r4; sw 8($r1), $r5; sw 12($r1), $r6; bnez $r1, Loop; Unrolled optimised 14 cycles 3.5 per iteration cf 9 originally speed up > 3

9 9 Unrolling Decisions Unrolling useful if iterations are independent Use different registers to avoid name dependence. Sets limit on size of unroll Eliminate test and branch instructions. Will need to modify them at the end of the code Independent iterations allow reorder of load and store between loops Ensure code delivers same result. Note number of iterations depends on length of code. Unroll too many times for a long loop and may start generating cache missed for the code. Also run out of independent registers. Long loops have little overhead from house keeping code. Marginal advantage of extra iterations decreases. Long loops have other ways to hide stalls.

10 10 Branches Branch or Control Hazards Time Branch Penalty Instruction 1 Instruction 2 Instruction 3 Instruction 4 Instruction 5 Instruction FI DI CO FO EI WO FI DI CO FO EI WO FI DI CO FO EI WO FI DI CO FO FI DI CO FI DI Instruction 7 Instruction 15 Instruction 16 FI FI DI CO FO EI WO FI DI CO FO EI WO A plot of the effect of a conditional branch at instruction 3 on the pipeline. On cycle 8 when the address is known the pipeline is emptied (flushed). Pipe starts refilling and there are 5 slots when no instruction completes Figure The Effect of a Conditional Branch on Instruction Pipeline Operation Diagram Stalling 10:

11 10 Branches Mitigating Branch Hazards Methods to mitigated the effect Multiple streams Prefetch branch target Loop buffer Branch Prediction Delayed Branch

12 12 Branches Multiple streams Duplicate the pipeline. Process branch taken and branch not taken If you have two pipelines, then they will both need access to registers, cache and memory. Contention or increasing number of functional units What happens when another branch enters one of the pipelines. Using space for the units, and power for operation. Can we get the same effect more efficiently

13 13 Branches Prefetch target buffer The branch target is fetched before it is clear that it is necessary. Meanwhile the instruction following the branch enters the pipeline. For branch not taken the pipeline operates at full efficiency. If the branch is taken, then the branch instruction has already been fetched, reducing the length of the stall

14 14 Branches Loop buffer The instruction fetch part of the pipeline contains a small buffer of high speed memory which contains the last few instructions. For branch taken the hardware takes the instruction from the buffer (if it is there) ie back to the start of the loop The loop buffer allows for pre-fetching instructions and thus there will be instructions in the buffer ahead of the current one. These are available with no memory access overhead. IF THEN ELSE constructs. Where the branch target may only be a few instructions ahead it will be pre-fetched Called loop buffer, but with other advantages. If the buffer is large enough to contain the whole loop, then they will only be fetched once.

15 15 Branches Loop buffer The instruction fetch part of the pipeline contains a small buffer of high speed memory which contains the last few instructions. For branch taken the hardware takes the instruction from the buffer (if it is there) ie back to the start of the loop The loop buffer allows for pre-fetching instructions and thus there will be instructions in the buffer ahead of the current one. These are available with no memory access overhead. IF THEN ELSE constructs. Where the branch target may only be a few instructions ahead it will be pre-fetched Called loop buffer, but with other advantages. If the buffer is large enough to contain the whole loop, then they will only be fetched once.

16 16 Branches Delayed Branch Address Instruction 100 LDA 101 ADD 102 JMP MUL Unoptimised Here 103 will be in the pipeline and the pipeline will need to be cleared before proceeding. Adding to the complication of the circuit Address Instruction 100 LDA 101 ADD 102 JMP NOOP 104 MUL Here the addition of a NOOP instruction means that the pipeline does not need to be cleared NOOP

17 17 Branches Delayed Branch Address Instruction 100 LDA 101 JMP ADD 103 MUL Delay slot Here the branch command is pulled back and executed earlier. The command which precedes the jump is now after the the jump. It can finish executing as the jump command is executed and the command following the jump is being fetched. This must be done more carefully if the branch is conditional. The execution following the branch is referred to as the delay slot

18 18 Branches Branch Prediction This means saying ahead of time whether a branch will be taken or not. Turns out to be possible to guess the correct answer a significant percentage of the time. If we consider a loop The branch at the end of the loop is taken every time that the system executes the loop. Only at the end of the loop is the branch not taken.

19 19 Prediction Branch Prediction Reduces stalls, only if the prediction is correct. Simplest is predict branch taken 25% 22% Misprediction Rate 20% 15% 10% 5% 12% 18% 11% 12% 4% 6% 9% 10% 15% 0% compress eqntott espresso gcc li doduc ear hydro2d mdljdp su2cor Some spec programs and failure rate for branch taken. static, compile time Can collect data during execution and modify prediction.

20 20 Prediction Dynamic Branch Prediction Depends on underlying regularity of code and data. Dynamic is better than static. Performance is a function of the accuracy and the cost of starting down the wrong route. Weather tomorrow, same as today. Simplest is a Branch History Table (BHT) do the same as last time. 1bit Finite state machine A loop will have 2 mis-directions first and last Average is only 9 (seems small??) 2 bit prediction: only change on two mistakes Predict Taken T Predict Not Taken T NT T NT T Predict Taken NT NT Predict Not Taken

21 21 Returns Return address predictors One target for improvement is predicting indirect jumps, whose address varies at run time. The return address from a procedure/method accounts for the vast majority of indirect jumps. Spec95 benchmarks show 15% of branches are returns. Java and other OO languages use methods and shorter code runs. Even more advantage in optimising method returns. BTB will nearly always get this wrong calls from a single site typically not temporally clustered. (Repeated calls from a loop may be) Solution : return address stack. Push on call Pop on recall. Approaching 100% accuracy depending on call depth and stack size.

22 22 Scheduling Split Instruction Decode Instruction Decode ID. Step of the pipelining. Checks for structural and data hazards Split into two Issue: Decode instructions. Structural hazards? Wait for data hazards to clear Read Operands: Extension of 5 step pipeline to out of order execution creates possibility of WAR and WAW Solved by register renaming. Out of order execution creates problems for exceptions. Imprecise exceptions raised exceptions which do not look as if the instructions were exectured sequential Instructions earlier than the exception may not have completed Instructions later than the exception may have completed

23 CDC 6600

24 CDC kwords 3 million instructions per second

25 25 CDC 160 Computer (and operator) Multiply/divide unit Computer around 1960 CPU and core memory

26 26 CDC160 Instructions 12 bit word Registers PC; Accumulator; address register 4k words OpCode F Mode Address E 0010 And Or 0100 Load Load Complement Add Subtract Store Shift and Replace Add and Replace Add One and Replace. 00 or 10

27 27 CDC160 Addressing modes OpCode F Mode Address E Direct Indirect. Fetch contents of E (only 6 bits of address space) If E=0 next word holds address (address all memory) If E not zero it points to a word (from 0-64) which holds the address of the next instruction Forward Relative: Next instruction is PC+E field Backward Relative: Next instruction is PC-E field All possible modes used. And limits instructions to 16

28 28 CDC160 Immediate instructions OpCode F Address E Small constants can be added in one instruction And E (zero extended) is added to Acc Or E or d with Acc Load. E into Acc Load ComplementE complement into ACC Add E added to Acc Subtract E subtracted from Acc f/b OpCode F Address E Relative jumps 110x00 - Zero Jump. All bits 0 110x01 - Non-Zero Jump. 1 bit non 0 110x10 - Positive Jump. A>0 110x11 - Negative Jump. A<0 Bit 8 jump forward or backward

29 29 CDC160 Indirect Jumps Jump Indirect Jump Forward Indirect. Shifts Shift Left Shift Left 2 (SN > 37) Shift Left Shift Left 6 (SN > 37) Multiply by Multiply by 100 (SN > 37). Control Instructions Note more than 16 instructions. So instructions are effectively vartiable length Halt Error Transmit Program Counter into Accumulator. No specific function calls to allow storage of PC and transfer of control. TPC Allows then store and jump in fewer instructions.

30 30 Dynamic scheduling Scoreboarding Developed for the CDC 6600 in the mid 1960 s Execution: Goal; 1 instruction per cycle. Instructions executed as early as possible When no structural hazards Instruction stall proceed to subsequent instructions Execute unless they depend on previous executing (or stalled) instructions. Many instructions in simultaneous execution Need hardware to match CDC FP units, 5 Memory Refs, 7 integer ops CDC Load/store MIPS only FP units See Hennessey Appendix A The scoreboard handles hazard detection Example Two multiplier units, one adder, one divide, one for

31 31 Dynamic scheduling Execution: See Hennessey Appendix A

32 32 MIPS Static v Dynamic scheduling Static scheduling: can be done at compile time. Some things are not defined at compile time. If we have an instruction like F1, F2 MUL F0, The instruction cannot proceed until the operands are available. Would like to start some other instructions. How many depends on how long? If the contents of F1 need to be loaded from memory, then this instruction may need to wait. For how long? Depends if F1 is fetched from cache or memory. That will not be known until run time. Dynamic scheduling: provides a mechanism to keep the pipeline flowing, using information not

33 33 MIPS Scoreboard Structural hazards cab be mitigated by increasing the number of functional units available (FP add, FP mult,...) and distributing the instructions between them. This takes extra logic. The scoreboard is some extra circuitry which takes the instructions and distributes the FP operations between multiple functional units add, multiply, divide. The aim (as always) is 1 instruction per clock cycle Three of the steps in the standard MIPS pipeline ID,EX,WB Are replaced by Issue, Read Operands, Execution, Write Results Issue decode instructions, check for structural hazards Read operands wait until no data hazards, then read operands

34 34 MIPS Scoreboard In order Out of order Out of order issue execution commit The scoreboard consists of three parts Instruction status Functional unit status Register result status

35 35 Status Units Instruction status Which step the instruction is executing Functional unit status The status of each functional unit Busy Op add, subtract, Fi register Fj, Fk Qj, Qk producing Fj, Fk Rj, Rk not read yes/no operation destination source registers functional units yes, registers ready & Register result status Which functional unit will write to each register If a functional unit has this register as its destination

36 36 Operation Issue: Functional Unit Free and no other unit has same destination register. Scoreboard issues instruction and updates its internal data structure. Protects against WAW hazards. If issue stalls the buffer between IF and Issue fills. Read Operands: Scoreboard monitors availability of source operands (data flow). Source operand available if not earlier issued instruction is going to write to it When source operands available to scoreboard tells the functional unit to begin execution. Resolves RAW hazards instructions may be sent to execution out of order Execution: Functional Unit executes instruction and informs scoreboard when complete. Write Result: Scoreboard checks for WAR hazards and stalls completing instruction if required. Execution as if serial Instructions may complete out of order. Instructions may even overtake each other.

37 37 Limitations Amount of parallelism Each instruction depends on predecessor None Number of scoreboard entries (window size) Determines how far ahead the pipeline can look for instructions Number and type of functional units How many instructions can occur in parallel. Tend to provide more multiply units, since multiply takes longer than add. Presence of anti-dependences and dependences Lead to RAW and WAW stalls

38 38 Note Balance VAX 8650 had a cycle time of 55ns with a sophisticated pipeline. VAX 8700 had a simpler pipeline which allowed a speed of 45ns had 20% less CPI, 8700 was 20% faster. But 8700 was simpler less hardware. Manufacturers ingenuity replaces simple clock speed. Doesn t always work Performance measurement Compiler optimisation covers some of the same ground as dynamic scheduling. Measure improvement with unoptimised code will give an over optimistic idea of improvement Be sure what it is you are measuring Complex system non-linear interactions Measurements are hard

Solution: start more than one instruction in the same clock cycle CPI < 1 (or IPC > 1, Instructions per Cycle) Two approaches:

Solution: start more than one instruction in the same clock cycle CPI < 1 (or IPC > 1, Instructions per Cycle) Two approaches: Multiple-Issue Processors Pipelining can achieve CPI close to 1 Mechanisms for handling hazards Static or dynamic scheduling Static or dynamic branch handling Increase in transistor counts (Moore s Law):

More information

INSTRUCTION LEVEL PARALLELISM PART VII: REORDER BUFFER

INSTRUCTION LEVEL PARALLELISM PART VII: REORDER BUFFER Course on: Advanced Computer Architectures INSTRUCTION LEVEL PARALLELISM PART VII: REORDER BUFFER Prof. Cristina Silvano Politecnico di Milano cristina.silvano@polimi.it Prof. Silvano, Politecnico di Milano

More information

Instruction Set Architecture (ISA) Design. Classification Categories

Instruction Set Architecture (ISA) Design. Classification Categories Instruction Set Architecture (ISA) Design Overview» Classify Instruction set architectures» Look at how applications use ISAs» Examine a modern RISC ISA (DLX)» Measurement of ISA usage in real computers

More information

Pipeline Hazards. Structure hazard Data hazard. ComputerArchitecture_PipelineHazard1

Pipeline Hazards. Structure hazard Data hazard. ComputerArchitecture_PipelineHazard1 Pipeline Hazards Structure hazard Data hazard Pipeline hazard: the major hurdle A hazard is a condition that prevents an instruction in the pipe from executing its next scheduled pipe stage Taxonomy of

More information

WAR: Write After Read

WAR: Write After Read WAR: Write After Read write-after-read (WAR) = artificial (name) dependence add R1, R2, R3 sub R2, R4, R1 or R1, R6, R3 problem: add could use wrong value for R2 can t happen in vanilla pipeline (reads

More information

More on Pipelining and Pipelines in Real Machines CS 333 Fall 2006 Main Ideas Data Hazards RAW WAR WAW More pipeline stall reduction techniques Branch prediction» static» dynamic bimodal branch prediction

More information

Advanced Computer Architecture-CS501. Computer Systems Design and Architecture 2.1, 2.2, 3.2

Advanced Computer Architecture-CS501. Computer Systems Design and Architecture 2.1, 2.2, 3.2 Lecture Handout Computer Architecture Lecture No. 2 Reading Material Vincent P. Heuring&Harry F. Jordan Chapter 2,Chapter3 Computer Systems Design and Architecture 2.1, 2.2, 3.2 Summary 1) A taxonomy of

More information

Instruction Set Design

Instruction Set Design Instruction Set Design Instruction Set Architecture: to what purpose? ISA provides the level of abstraction between the software and the hardware One of the most important abstraction in CS It s narrow,

More information

Instruction Set Architecture. or How to talk to computers if you aren t in Star Trek

Instruction Set Architecture. or How to talk to computers if you aren t in Star Trek Instruction Set Architecture or How to talk to computers if you aren t in Star Trek The Instruction Set Architecture Application Compiler Instr. Set Proc. Operating System I/O system Instruction Set Architecture

More information

Q. Consider a dynamic instruction execution (an execution trace, in other words) that consists of repeats of code in this pattern:

Q. Consider a dynamic instruction execution (an execution trace, in other words) that consists of repeats of code in this pattern: Pipelining HW Q. Can a MIPS SW instruction executing in a simple 5-stage pipelined implementation have a data dependency hazard of any type resulting in a nop bubble? If so, show an example; if not, prove

More information

VLIW Processors. VLIW Processors

VLIW Processors. VLIW Processors 1 VLIW Processors VLIW ( very long instruction word ) processors instructions are scheduled by the compiler a fixed number of operations are formatted as one big instruction (called a bundle) usually LIW

More information

Computer Architecture TDTS10

Computer Architecture TDTS10 why parallelism? Performance gain from increasing clock frequency is no longer an option. Outline Computer Architecture TDTS10 Superscalar Processors Very Long Instruction Word Processors Parallel computers

More information

CS352H: Computer Systems Architecture

CS352H: Computer Systems Architecture CS352H: Computer Systems Architecture Topic 9: MIPS Pipeline - Hazards October 1, 2009 University of Texas at Austin CS352H - Computer Systems Architecture Fall 2009 Don Fussell Data Hazards in ALU Instructions

More information

Computer Organization and Components

Computer Organization and Components Computer Organization and Components IS5, fall 25 Lecture : Pipelined Processors ssociate Professor, KTH Royal Institute of Technology ssistant Research ngineer, University of California, Berkeley Slides

More information

Chapter 5 Instructor's Manual

Chapter 5 Instructor's Manual The Essentials of Computer Organization and Architecture Linda Null and Julia Lobur Jones and Bartlett Publishers, 2003 Chapter 5 Instructor's Manual Chapter Objectives Chapter 5, A Closer Look at Instruction

More information

Instruction Set Architecture (ISA)

Instruction Set Architecture (ISA) Instruction Set Architecture (ISA) * Instruction set architecture of a machine fills the semantic gap between the user and the machine. * ISA serves as the starting point for the design of a new machine

More information

Addressing The problem. When & Where do we encounter Data? The concept of addressing data' in computations. The implications for our machine design(s)

Addressing The problem. When & Where do we encounter Data? The concept of addressing data' in computations. The implications for our machine design(s) Addressing The problem Objectives:- When & Where do we encounter Data? The concept of addressing data' in computations The implications for our machine design(s) Introducing the stack-machine concept Slide

More information

CPU Performance Equation

CPU Performance Equation CPU Performance Equation C T I T ime for task = C T I =Average # Cycles per instruction =Time per cycle =Instructions per task Pipelining e.g. 3-5 pipeline steps (ARM, SA, R3000) Attempt to get C down

More information

PROBLEMS #20,R0,R1 #$3A,R2,R4

PROBLEMS #20,R0,R1 #$3A,R2,R4 506 CHAPTER 8 PIPELINING (Corrisponde al cap. 11 - Introduzione al pipelining) PROBLEMS 8.1 Consider the following sequence of instructions Mul And #20,R0,R1 #3,R2,R3 #$3A,R2,R4 R0,R2,R5 In all instructions,

More information

CS:APP Chapter 4 Computer Architecture. Wrap-Up. William J. Taffe Plymouth State University. using the slides of

CS:APP Chapter 4 Computer Architecture. Wrap-Up. William J. Taffe Plymouth State University. using the slides of CS:APP Chapter 4 Computer Architecture Wrap-Up William J. Taffe Plymouth State University using the slides of Randal E. Bryant Carnegie Mellon University Overview Wrap-Up of PIPE Design Performance analysis

More information

The Microarchitecture of Superscalar Processors

The Microarchitecture of Superscalar Processors The Microarchitecture of Superscalar Processors James E. Smith Department of Electrical and Computer Engineering 1415 Johnson Drive Madison, WI 53706 ph: (608)-265-5737 fax:(608)-262-1267 email: jes@ece.wisc.edu

More information

Week 1 out-of-class notes, discussions and sample problems

Week 1 out-of-class notes, discussions and sample problems Week 1 out-of-class notes, discussions and sample problems Although we will primarily concentrate on RISC processors as found in some desktop/laptop computers, here we take a look at the varying types

More information

An Introduction to the ARM 7 Architecture

An Introduction to the ARM 7 Architecture An Introduction to the ARM 7 Architecture Trevor Martin CEng, MIEE Technical Director This article gives an overview of the ARM 7 architecture and a description of its major features for a developer new

More information

Execution Cycle. Pipelining. IF and ID Stages. Simple MIPS Instruction Formats

Execution Cycle. Pipelining. IF and ID Stages. Simple MIPS Instruction Formats Execution Cycle Pipelining CSE 410, Spring 2005 Computer Systems http://www.cs.washington.edu/410 1. Instruction Fetch 2. Instruction Decode 3. Execute 4. Memory 5. Write Back IF and ID Stages 1. Instruction

More information

Notes on Assembly Language

Notes on Assembly Language Notes on Assembly Language Brief introduction to assembly programming The main components of a computer that take part in the execution of a program written in assembly code are the following: A set of

More information

Administration. Instruction scheduling. Modern processors. Examples. Simplified architecture model. CS 412 Introduction to Compilers

Administration. Instruction scheduling. Modern processors. Examples. Simplified architecture model. CS 412 Introduction to Compilers CS 4 Introduction to Compilers ndrew Myers Cornell University dministration Prelim tomorrow evening No class Wednesday P due in days Optional reading: Muchnick 7 Lecture : Instruction scheduling pr 0 Modern

More information

Static Scheduling. option #1: dynamic scheduling (by the hardware) option #2: static scheduling (by the compiler) ECE 252 / CPS 220 Lecture Notes

Static Scheduling. option #1: dynamic scheduling (by the hardware) option #2: static scheduling (by the compiler) ECE 252 / CPS 220 Lecture Notes basic pipeline: single, in-order issue first extension: multiple issue (superscalar) second extension: scheduling instructions for more ILP option #1: dynamic scheduling (by the hardware) option #2: static

More information

Computer Organization and Architecture

Computer Organization and Architecture Computer Organization and Architecture Chapter 11 Instruction Sets: Addressing Modes and Formats Instruction Set Design One goal of instruction set design is to minimize instruction length Another goal

More information

EC 362 Problem Set #2

EC 362 Problem Set #2 EC 362 Problem Set #2 1) Using Single Precision IEEE 754, what is FF28 0000? 2) Suppose the fraction enhanced of a processor is 40% and the speedup of the enhancement was tenfold. What is the overall speedup?

More information

(Refer Slide Time: 00:01:16 min)

(Refer Slide Time: 00:01:16 min) Digital Computer Organization Prof. P. K. Biswas Department of Electronic & Electrical Communication Engineering Indian Institute of Technology, Kharagpur Lecture No. # 04 CPU Design: Tirning & Control

More information

EE282 Computer Architecture and Organization Midterm Exam February 13, 2001. (Total Time = 120 minutes, Total Points = 100)

EE282 Computer Architecture and Organization Midterm Exam February 13, 2001. (Total Time = 120 minutes, Total Points = 100) EE282 Computer Architecture and Organization Midterm Exam February 13, 2001 (Total Time = 120 minutes, Total Points = 100) Name: (please print) Wolfe - Solution In recognition of and in the spirit of the

More information

Giving credit where credit is due

Giving credit where credit is due CSCE 230J Computer Organization Processor Architecture VI: Wrap-Up Dr. Steve Goddard goddard@cse.unl.edu http://cse.unl.edu/~goddard/courses/csce230j Giving credit where credit is due ost of slides for

More information

CHAPTER 7: The CPU and Memory

CHAPTER 7: The CPU and Memory CHAPTER 7: The CPU and Memory The Architecture of Computer Hardware, Systems Software & Networking: An Information Technology Approach 4th Edition, Irv Englander John Wiley and Sons 2010 PowerPoint slides

More information

Overview. CISC Developments. RISC Designs. CISC Designs. VAX: Addressing Modes. Digital VAX

Overview. CISC Developments. RISC Designs. CISC Designs. VAX: Addressing Modes. Digital VAX Overview CISC Developments Over Twenty Years Classic CISC design: Digital VAX VAXÕs RISC successor: PRISM/Alpha IntelÕs ubiquitous 80x86 architecture Ð 8086 through the Pentium Pro (P6) RJS 2/3/97 Philosophy

More information

Thread level parallelism

Thread level parallelism Thread level parallelism ILP is used in straight line code or loops Cache miss (off-chip cache and main memory) is unlikely to be hidden using ILP. Thread level parallelism is used instead. Thread: process

More information

Computer Architecture Lecture 2: Instruction Set Principles (Appendix A) Chih Wei Liu 劉 志 尉 National Chiao Tung University cwliu@twins.ee.nctu.edu.

Computer Architecture Lecture 2: Instruction Set Principles (Appendix A) Chih Wei Liu 劉 志 尉 National Chiao Tung University cwliu@twins.ee.nctu.edu. Computer Architecture Lecture 2: Instruction Set Principles (Appendix A) Chih Wei Liu 劉 志 尉 National Chiao Tung University cwliu@twins.ee.nctu.edu.tw Review Computers in mid 50 s Hardware was expensive

More information

Lecture: Pipelining Extensions. Topics: control hazards, multi-cycle instructions, pipelining equations

Lecture: Pipelining Extensions. Topics: control hazards, multi-cycle instructions, pipelining equations Lecture: Pipelining Extensions Topics: control hazards, multi-cycle instructions, pipelining equations 1 Problem 6 Show the instruction occupying each stage in each cycle (with bypassing) if I1 is R1+R2

More information

EE482: Advanced Computer Organization Lecture #11 Processor Architecture Stanford University Wednesday, 31 May 2000. ILP Execution

EE482: Advanced Computer Organization Lecture #11 Processor Architecture Stanford University Wednesday, 31 May 2000. ILP Execution EE482: Advanced Computer Organization Lecture #11 Processor Architecture Stanford University Wednesday, 31 May 2000 Lecture #11: Wednesday, 3 May 2000 Lecturer: Ben Serebrin Scribe: Dean Liu ILP Execution

More information

COMPUTER ORGANIZATION ARCHITECTURES FOR EMBEDDED COMPUTING

COMPUTER ORGANIZATION ARCHITECTURES FOR EMBEDDED COMPUTING COMPUTER ORGANIZATION ARCHITECTURES FOR EMBEDDED COMPUTING 2013/2014 1 st Semester Sample Exam January 2014 Duration: 2h00 - No extra material allowed. This includes notes, scratch paper, calculator, etc.

More information

Comp 255Q - 1M: Computer Organization Lab #3 - Machine Language Programs for the PDP-8

Comp 255Q - 1M: Computer Organization Lab #3 - Machine Language Programs for the PDP-8 Comp 255Q - 1M: Computer Organization Lab #3 - Machine Language Programs for the PDP-8 January 22, 2013 Name: Grade /10 Introduction: In this lab you will write, test, and execute a number of simple PDP-8

More information

Pipelining Review and Its Limitations

Pipelining Review and Its Limitations Pipelining Review and Its Limitations Yuri Baida yuri.baida@gmail.com yuriy.v.baida@intel.com October 16, 2010 Moscow Institute of Physics and Technology Agenda Review Instruction set architecture Basic

More information

IA-64 Application Developer s Architecture Guide

IA-64 Application Developer s Architecture Guide IA-64 Application Developer s Architecture Guide The IA-64 architecture was designed to overcome the performance limitations of today s architectures and provide maximum headroom for the future. To achieve

More information

OAMulator. Online One Address Machine emulator and OAMPL compiler. http://myspiders.biz.uiowa.edu/~fil/oam/

OAMulator. Online One Address Machine emulator and OAMPL compiler. http://myspiders.biz.uiowa.edu/~fil/oam/ OAMulator Online One Address Machine emulator and OAMPL compiler http://myspiders.biz.uiowa.edu/~fil/oam/ OAMulator educational goals OAM emulator concepts Von Neumann architecture Registers, ALU, controller

More information

Instruction Set Architecture

Instruction Set Architecture Instruction Set Architecture Consider x := y+z. (x, y, z are memory variables) 1-address instructions 2-address instructions LOAD y (r :=y) ADD y,z (y := y+z) ADD z (r:=r+z) MOVE x,y (x := y) STORE x (x:=r)

More information

Interpreters and virtual machines. Interpreters. Interpreters. Why interpreters? Tree-based interpreters. Text-based interpreters

Interpreters and virtual machines. Interpreters. Interpreters. Why interpreters? Tree-based interpreters. Text-based interpreters Interpreters and virtual machines Michel Schinz 2007 03 23 Interpreters Interpreters Why interpreters? An interpreter is a program that executes another program, represented as some kind of data-structure.

More information

MICROPROCESSOR AND MICROCOMPUTER BASICS

MICROPROCESSOR AND MICROCOMPUTER BASICS Introduction MICROPROCESSOR AND MICROCOMPUTER BASICS At present there are many types and sizes of computers available. These computers are designed and constructed based on digital and Integrated Circuit

More information

İSTANBUL AYDIN UNIVERSITY

İSTANBUL AYDIN UNIVERSITY İSTANBUL AYDIN UNIVERSITY FACULTY OF ENGİNEERİNG SOFTWARE ENGINEERING THE PROJECT OF THE INSTRUCTION SET COMPUTER ORGANIZATION GÖZDE ARAS B1205.090015 Instructor: Prof. Dr. HASAN HÜSEYİN BALIK DECEMBER

More information

Central Processing Unit (CPU)

Central Processing Unit (CPU) Central Processing Unit (CPU) CPU is the heart and brain It interprets and executes machine level instructions Controls data transfer from/to Main Memory (MM) and CPU Detects any errors In the following

More information

Stack machines The MIPS assembly language A simple source language Stack-machine implementation of the simple language Readings: 9.1-9.

Stack machines The MIPS assembly language A simple source language Stack-machine implementation of the simple language Readings: 9.1-9. Code Generation I Stack machines The MIPS assembly language A simple source language Stack-machine implementation of the simple language Readings: 9.1-9.7 Stack Machines A simple evaluation model No variables

More information

1 Classical Universal Computer 3

1 Classical Universal Computer 3 Chapter 6: Machine Language and Assembler Christian Jacob 1 Classical Universal Computer 3 1.1 Von Neumann Architecture 3 1.2 CPU and RAM 5 1.3 Arithmetic Logical Unit (ALU) 6 1.4 Arithmetic Logical Unit

More information

Quiz for Chapter 1 Computer Abstractions and Technology 3.10

Quiz for Chapter 1 Computer Abstractions and Technology 3.10 Date: 3.10 Not all questions are of equal difficulty. Please review the entire quiz first and then budget your time carefully. Name: Course: Solutions in Red 1. [15 points] Consider two different implementations,

More information

MACHINE ARCHITECTURE & LANGUAGE

MACHINE ARCHITECTURE & LANGUAGE in the name of God the compassionate, the merciful notes on MACHINE ARCHITECTURE & LANGUAGE compiled by Jumong Chap. 9 Microprocessor Fundamentals A system designer should consider a microprocessor-based

More information

A Lab Course on Computer Architecture

A Lab Course on Computer Architecture A Lab Course on Computer Architecture Pedro López José Duato Depto. de Informática de Sistemas y Computadores Facultad de Informática Universidad Politécnica de Valencia Camino de Vera s/n, 46071 - Valencia,

More information

Chapter 4 Lecture 5 The Microarchitecture Level Integer JAVA Virtual Machine

Chapter 4 Lecture 5 The Microarchitecture Level Integer JAVA Virtual Machine Chapter 4 Lecture 5 The Microarchitecture Level Integer JAVA Virtual Machine This is a limited version of a hardware implementation to execute the JAVA programming language. 1 of 23 Structured Computer

More information

COMP 303 MIPS Processor Design Project 4: MIPS Processor Due Date: 11 December 2009 23:59

COMP 303 MIPS Processor Design Project 4: MIPS Processor Due Date: 11 December 2009 23:59 COMP 303 MIPS Processor Design Project 4: MIPS Processor Due Date: 11 December 2009 23:59 Overview: In the first projects for COMP 303, you will design and implement a subset of the MIPS32 architecture

More information

Figure 1: Graphical example of a mergesort 1.

Figure 1: Graphical example of a mergesort 1. CSE 30321 Computer Architecture I Fall 2011 Lab 02: Procedure Calls in MIPS Assembly Programming and Performance Total Points: 100 points due to its complexity, this lab will weight more heavily in your

More information

Exception and Interrupt Handling in ARM

Exception and Interrupt Handling in ARM Exception and Interrupt Handling in ARM Architectures and Design Methods for Embedded Systems Summer Semester 2006 Author: Ahmed Fathy Mohammed Abdelrazek Advisor: Dominik Lücke Abstract We discuss exceptions

More information

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180B Lab 7: MISP Processor Design Spring 1995

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180B Lab 7: MISP Processor Design Spring 1995 UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering EEC180B Lab 7: MISP Processor Design Spring 1995 Objective: In this lab, you will complete the design of the MISP processor,

More information

Bindel, Spring 2010 Applications of Parallel Computers (CS 5220) Week 1: Wednesday, Jan 27

Bindel, Spring 2010 Applications of Parallel Computers (CS 5220) Week 1: Wednesday, Jan 27 Logistics Week 1: Wednesday, Jan 27 Because of overcrowding, we will be changing to a new room on Monday (Snee 1120). Accounts on the class cluster (crocus.csuglab.cornell.edu) will be available next week.

More information

Chapter 2 Topics. 2.1 Classification of Computers & Instructions 2.2 Classes of Instruction Sets 2.3 Informal Description of Simple RISC Computer, SRC

Chapter 2 Topics. 2.1 Classification of Computers & Instructions 2.2 Classes of Instruction Sets 2.3 Informal Description of Simple RISC Computer, SRC Chapter 2 Topics 2.1 Classification of Computers & Instructions 2.2 Classes of Instruction Sets 2.3 Informal Description of Simple RISC Computer, SRC See Appendix C for Assembly language information. 2.4

More information

a storage location directly on the CPU, used for temporary storage of small amounts of data during processing.

a storage location directly on the CPU, used for temporary storage of small amounts of data during processing. CS143 Handout 18 Summer 2008 30 July, 2008 Processor Architectures Handout written by Maggie Johnson and revised by Julie Zelenski. Architecture Vocabulary Let s review a few relevant hardware definitions:

More information

Intel 8086 architecture

Intel 8086 architecture Intel 8086 architecture Today we ll take a look at Intel s 8086, which is one of the oldest and yet most prevalent processor architectures around. We ll make many comparisons between the MIPS and 8086

More information

Pipeline Hazards. Arvind Computer Science and Artificial Intelligence Laboratory M.I.T. Based on the material prepared by Arvind and Krste Asanovic

Pipeline Hazards. Arvind Computer Science and Artificial Intelligence Laboratory M.I.T. Based on the material prepared by Arvind and Krste Asanovic 1 Pipeline Hazards Computer Science and Artificial Intelligence Laboratory M.I.T. Based on the material prepared by and Krste Asanovic 6.823 L6-2 Technology Assumptions A small amount of very fast memory

More information

Intel Pentium 4 Processor on 90nm Technology

Intel Pentium 4 Processor on 90nm Technology Intel Pentium 4 Processor on 90nm Technology Ronak Singhal August 24, 2004 Hot Chips 16 1 1 Agenda Netburst Microarchitecture Review Microarchitecture Features Hyper-Threading Technology SSE3 Intel Extended

More information

Computer organization

Computer organization Computer organization Computer design an application of digital logic design procedures Computer = processing unit + memory system Processing unit = control + datapath Control = finite state machine inputs

More information

Computer Systems Architecture

Computer Systems Architecture Computer Systems Architecture http://cs.nott.ac.uk/ txa/g51csa/ Thorsten Altenkirch and Liyang Hu School of Computer Science University of Nottingham Lecture 10: MIPS Procedure Calling Convention and Recursion

More information

what operations can it perform? how does it perform them? on what kind of data? where are instructions and data stored?

what operations can it perform? how does it perform them? on what kind of data? where are instructions and data stored? Inside the CPU how does the CPU work? what operations can it perform? how does it perform them? on what kind of data? where are instructions and data stored? some short, boring programs to illustrate the

More information

The AVR Microcontroller and C Compiler Co-Design Dr. Gaute Myklebust ATMEL Corporation ATMEL Development Center, Trondheim, Norway

The AVR Microcontroller and C Compiler Co-Design Dr. Gaute Myklebust ATMEL Corporation ATMEL Development Center, Trondheim, Norway The AVR Microcontroller and C Compiler Co-Design Dr. Gaute Myklebust ATMEL Corporation ATMEL Development Center, Trondheim, Norway Abstract High Level Languages (HLLs) are rapidly becoming the standard

More information

A s we saw in Chapter 4, a CPU contains three main sections: the register section,

A s we saw in Chapter 4, a CPU contains three main sections: the register section, 6 CPU Design A s we saw in Chapter 4, a CPU contains three main sections: the register section, the arithmetic/logic unit (ALU), and the control unit. These sections work together to perform the sequences

More information

Solutions. Solution 4.1. 4.1.1 The values of the signals are as follows:

Solutions. Solution 4.1. 4.1.1 The values of the signals are as follows: 4 Solutions Solution 4.1 4.1.1 The values of the signals are as follows: RegWrite MemRead ALUMux MemWrite ALUOp RegMux Branch a. 1 0 0 (Reg) 0 Add 1 (ALU) 0 b. 1 1 1 (Imm) 0 Add 1 (Mem) 0 ALUMux is the

More information

Chapter 2 Basic Structure of Computers. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 2 Basic Structure of Computers. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 2 Basic Structure of Computers Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Functional Units Basic Operational Concepts Bus Structures Software

More information

Divide: Paper & Pencil. Computer Architecture ALU Design : Division and Floating Point. Divide algorithm. DIVIDE HARDWARE Version 1

Divide: Paper & Pencil. Computer Architecture ALU Design : Division and Floating Point. Divide algorithm. DIVIDE HARDWARE Version 1 Divide: Paper & Pencil Computer Architecture ALU Design : Division and Floating Point 1001 Quotient Divisor 1000 1001010 Dividend 1000 10 101 1010 1000 10 (or Modulo result) See how big a number can be

More information

8085 INSTRUCTION SET

8085 INSTRUCTION SET DATA TRANSFER INSTRUCTIONS Opcode Operand Description 8085 INSTRUCTION SET INSTRUCTION DETAILS Copy from source to destination OV Rd, Rs This instruction copies the contents of the source, Rs register

More information

An Overview of Stack Architecture and the PSC 1000 Microprocessor

An Overview of Stack Architecture and the PSC 1000 Microprocessor An Overview of Stack Architecture and the PSC 1000 Microprocessor Introduction A stack is an important data handling structure used in computing. Specifically, a stack is a dynamic set of elements in which

More information

PART B QUESTIONS AND ANSWERS UNIT I

PART B QUESTIONS AND ANSWERS UNIT I PART B QUESTIONS AND ANSWERS UNIT I 1. Explain the architecture of 8085 microprocessor? Logic pin out of 8085 microprocessor Address bus: unidirectional bus, used as high order bus Data bus: bi-directional

More information

ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-12: ARM

ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-12: ARM ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-12: ARM 1 The ARM architecture processors popular in Mobile phone systems 2 ARM Features ARM has 32-bit architecture but supports 16 bit

More information

Reduced Instruction Set Computer (RISC)

Reduced Instruction Set Computer (RISC) Reduced Instruction Set Computer (RISC) Focuses on reducing the number and complexity of instructions of the ISA. RISC Goals RISC: Simplify ISA Simplify CPU Design Better CPU Performance Motivated by simplifying

More information

Course on Advanced Computer Architectures

Course on Advanced Computer Architectures Course on Advanced Computer Architectures Surname (Cognome) Name (Nome) POLIMI ID Number Signature (Firma) SOLUTION Politecnico di Milano, September 3rd, 2015 Prof. C. Silvano EX1A ( 2 points) EX1B ( 2

More information

CS521 CSE IITG 11/23/2012

CS521 CSE IITG 11/23/2012 CS521 CSE TG 11/23/2012 A Sahu 1 Degree of overlap Serial, Overlapped, d, Super pipelined/superscalar Depth Shallow, Deep Structure Linear, Non linear Scheduling of operations Static, Dynamic A Sahu slide

More information

Review: MIPS Addressing Modes/Instruction Formats

Review: MIPS Addressing Modes/Instruction Formats Review: Addressing Modes Addressing mode Example Meaning Register Add R4,R3 R4 R4+R3 Immediate Add R4,#3 R4 R4+3 Displacement Add R4,1(R1) R4 R4+Mem[1+R1] Register indirect Add R4,(R1) R4 R4+Mem[R1] Indexed

More information

LSN 2 Computer Processors

LSN 2 Computer Processors LSN 2 Computer Processors Department of Engineering Technology LSN 2 Computer Processors Microprocessors Design Instruction set Processor organization Processor performance Bandwidth Clock speed LSN 2

More information

Software Pipelining by Modulo Scheduling. Philip Sweany University of North Texas

Software Pipelining by Modulo Scheduling. Philip Sweany University of North Texas Software Pipelining by Modulo Scheduling Philip Sweany University of North Texas Overview Opportunities for Loop Optimization Software Pipelining Modulo Scheduling Resource and Dependence Constraints Scheduling

More information

Introduction to Cloud Computing

Introduction to Cloud Computing Introduction to Cloud Computing Parallel Processing I 15 319, spring 2010 7 th Lecture, Feb 2 nd Majd F. Sakr Lecture Motivation Concurrency and why? Different flavors of parallel computing Get the basic

More information

Streamlining Data Cache Access with Fast Address Calculation

Streamlining Data Cache Access with Fast Address Calculation Streamlining Data Cache Access with Fast Address Calculation Todd M Austin Dionisios N Pnevmatikatos Gurindar S Sohi Computer Sciences Department University of Wisconsin-Madison 2 W Dayton Street Madison,

More information

CS101 Lecture 26: Low Level Programming. John Magee 30 July 2013 Some material copyright Jones and Bartlett. Overview/Questions

CS101 Lecture 26: Low Level Programming. John Magee 30 July 2013 Some material copyright Jones and Bartlett. Overview/Questions CS101 Lecture 26: Low Level Programming John Magee 30 July 2013 Some material copyright Jones and Bartlett 1 Overview/Questions What did we do last time? How can we control the computer s circuits? How

More information

1 Description of The Simpletron

1 Description of The Simpletron Simulating The Simpletron Computer 50 points 1 Description of The Simpletron In this assignment you will write a program to simulate a fictional computer that we will call the Simpletron. As its name implies

More information

picojava TM : A Hardware Implementation of the Java Virtual Machine

picojava TM : A Hardware Implementation of the Java Virtual Machine picojava TM : A Hardware Implementation of the Java Virtual Machine Marc Tremblay and Michael O Connor Sun Microelectronics Slide 1 The Java picojava Synergy Java s origins lie in improving the consumer

More information

Pitfalls of Object Oriented Programming

Pitfalls of Object Oriented Programming Sony Computer Entertainment Europe Research & Development Division Pitfalls of Object Oriented Programming Tony Albrecht Technical Consultant Developer Services A quick look at Object Oriented (OO) programming

More information

CPU Organisation and Operation

CPU Organisation and Operation CPU Organisation and Operation The Fetch-Execute Cycle The operation of the CPU 1 is usually described in terms of the Fetch-Execute cycle. 2 Fetch-Execute Cycle Fetch the Instruction Increment the Program

More information

A single register, called the accumulator, stores the. operand before the operation, and stores the result. Add y # add y from memory to the acc

A single register, called the accumulator, stores the. operand before the operation, and stores the result. Add y # add y from memory to the acc Other architectures Example. Accumulator-based machines A single register, called the accumulator, stores the operand before the operation, and stores the result after the operation. Load x # into acc

More information

A3 Computer Architecture

A3 Computer Architecture A3 Computer Architecture Engineering Science 3rd year A3 Lectures Prof David Murray david.murray@eng.ox.ac.uk www.robots.ox.ac.uk/ dwm/courses/3co Michaelmas 2000 1 / 1 6. Stacks, Subroutines, and Memory

More information

Software Pipelining. Y.N. Srikant. NPTEL Course on Compiler Design. Department of Computer Science Indian Institute of Science Bangalore 560 012

Software Pipelining. Y.N. Srikant. NPTEL Course on Compiler Design. Department of Computer Science Indian Institute of Science Bangalore 560 012 Department of Computer Science Indian Institute of Science Bangalore 560 2 NPTEL Course on Compiler Design Introduction to Overlaps execution of instructions from multiple iterations of a loop Executes

More information

Lecture Outline. Stack machines The MIPS assembly language. Code Generation (I)

Lecture Outline. Stack machines The MIPS assembly language. Code Generation (I) Lecture Outline Code Generation (I) Stack machines The MIPS assembl language Adapted from Lectures b Profs. Ale Aiken and George Necula (UCB) A simple source language Stack- machine implementation of the

More information

Central Processing Unit Simulation Version v2.5 (July 2005) Charles André University Nice-Sophia Antipolis

Central Processing Unit Simulation Version v2.5 (July 2005) Charles André University Nice-Sophia Antipolis Central Processing Unit Simulation Version v2.5 (July 2005) Charles André University Nice-Sophia Antipolis 1 1 Table of Contents 1 Table of Contents... 3 2 Overview... 5 3 Installation... 7 4 The CPU

More information

18-447 Computer Architecture Lecture 3: ISA Tradeoffs. Prof. Onur Mutlu Carnegie Mellon University Spring 2013, 1/18/2013

18-447 Computer Architecture Lecture 3: ISA Tradeoffs. Prof. Onur Mutlu Carnegie Mellon University Spring 2013, 1/18/2013 18-447 Computer Architecture Lecture 3: ISA Tradeoffs Prof. Onur Mutlu Carnegie Mellon University Spring 2013, 1/18/2013 Reminder: Homeworks for Next Two Weeks Homework 0 Due next Wednesday (Jan 23), right

More information

1 The Java Virtual Machine

1 The Java Virtual Machine 1 The Java Virtual Machine About the Spec Format This document describes the Java virtual machine and the instruction set. In this introduction, each component of the machine is briefly described. This

More information

2) Write in detail the issues in the design of code generator.

2) Write in detail the issues in the design of code generator. COMPUTER SCIENCE AND ENGINEERING VI SEM CSE Principles of Compiler Design Unit-IV Question and answers UNIT IV CODE GENERATION 9 Issues in the design of code generator The target machine Runtime Storage

More information

ASSEMBLY PROGRAMMING ON A VIRTUAL COMPUTER

ASSEMBLY PROGRAMMING ON A VIRTUAL COMPUTER ASSEMBLY PROGRAMMING ON A VIRTUAL COMPUTER Pierre A. von Kaenel Mathematics and Computer Science Department Skidmore College Saratoga Springs, NY 12866 (518) 580-5292 pvonk@skidmore.edu ABSTRACT This paper

More information

on an system with an infinite number of processors. Calculate the speedup of

on an system with an infinite number of processors. Calculate the speedup of 1. Amdahl s law Three enhancements with the following speedups are proposed for a new architecture: Speedup1 = 30 Speedup2 = 20 Speedup3 = 10 Only one enhancement is usable at a time. a) If enhancements

More information