Gaining insight into effective metrology height through the use of a compact CDSEM model for lithography simulation

Size: px
Start display at page:

Download "Gaining insight into effective metrology height through the use of a compact CDSEM model for lithography simulation"

Transcription

1 Gaining insight into effective metrology height through the use of a compact CDSEM model for lithography simulation Chao Fang, Trey Graves, Alessandro Vaglio Pret b, Stewart Robertson, Mark Smith KLA-Tencor Texas, United States b KLA-Tencor/ICOS Belgium, Belgium ABSTRACT Computer simulation of lithographic performance, including resist CD, film thickness, sidewall angle and profile has been extensively studied during the past three decades. Lithography simulation has been widely adopted as an enabling technology for high-volume chip manufacturing. However, measurement artifacts arising from CD-SEM metrology are typically ignored in simulation, due to the difficulty of accurately modeling the effect of the CD-SEM at acceptable computational speed. In this paper, we demonstrate how CD measurements can be improved by including a fast, compact CD-SEM model. For example, the variation in effective resist metrology height along contour lines extracted from a simulated CD-SEM image is characterized for a range of structures through focus. We also demonstrate how SEM settings affect the shape of extracted SEM contour and metrology height at contour edge. The Edge Placement Error (EPE) caused by SEM artifact is carefully studied. Keywords: CD-SEM Simulator, photolithography simulation, metrology height, EPE 1. Introduction Scanning Electron Microscopy (SEM) is widely used to measure Critical Dimensions (CD) in semiconductor lithography processes [1]. In 7nm node and 5nm node, the metrology error from SEM could reach about 25% of the total measurement value [6]. Capability to predict the metrology results from a CD-SEM is highly desirable to reduce the uncertainty of metrology. We have introduced a fast and compact CD-SEM simulator [7-9] and studied the SEM artifacts with this simulator. Our compact CD-SEM simulator is inspired by Monte-Carlo based simulators which are generally used to model the detailed image formation process of a CD-SEM [2-5]. Due to the high computational cost of Monte-Carlo based CD-SEM simulations, 2D CD-SEM image simulation which is desired to be included in computational lithography is too expensive. The compact CD-SEM simulator simplified the details of electron tracking and use statistical method to average the signal strength. The basic process is shown in Fig 1. The results show good agreement with experimental data [8]. In this paper, we use a calibrated lithography and CD-SEM model to study the SEM contour locations and corresponding metrology height on resist. Accurate prediction of SEM contour location is critical to reduce the Edge Placement Error (EPE). Metrology height is a critical parameter in computational lithography tool when trying to obtain feature edge locations. Firstly, we study the variation in effective resist metrology height along contour lines extracted from a simulated CD-SEM image. Then, we demonstrate how SEM recipe settings affect the shape of extracted SEM contour and metrology height at contour edge. Next, we Metrology, Inspection, and Process Control for Microlithography XXX, edited by Martha I. Sanchez, Vladimir A. Ukrainstev Proc. of SPIE Vol. 9778, 97780B 2016 SPIE CCC code: X/16/$18 doi: / Proc. of SPIE Vol B-1

2 study the impact of focus on effective metrology height. Finally, we characterize the edge placement error due to SEM metrology for 2D elbows contours. --.,,, Intensity Profile P Line Scan 1 SEM _> E -Beam _/' Profile \_ J Figure 1: Process to simulate SEM line scan with compact SEM simulator 2. CD-SEM Image Formation A CD-SEM scans the sample surface using a focused electron beam, as shown in Figure 2. The typical landing energy of the incident beam for a CD-SEM is between 300 ev to 1.5 kev. The incident beam of electrons interact with the sample and undergo elastic and inelastic collisions resulting in an electron cloud inside the sample. Low energy secondary electrons (SE) are excited during this process and some of them are emitted from the sample surface. These emitted SEs may further interact with the sample surface or the SEM chamber. The SEs captured by SEM extraction field are collected by the SEM detector. The detector collects the SE and form the CD-SEM image according to the SE intensity. A typical Hitachi CD-SEM image consists of 512 by 512 to 2048 by 2048 pixels. Each pixel has a grey scale level from 0 to 255. Electron Beam Electron Beam sample Figure 2: Incident electron beam enter sample surface at angle. Secondary electrons are excited as incident electrons travel inside the sample. Proc. of SPIE Vol B-2

3 3. SEM Edge Detection by Threshold Method SEM image analysis techniques use threshold edge detection methods to determine the location of feature edges. The basic algorithm is [10]: = In this expression, is the max level of signal and is the minimum level of signal. Threshold method is a widely used the metrology method for CD-SEM. Cross- sectional feature Bottom -* Top SEM signal profile >,,-, ȧ). 150 Ima Outer slope Inner slope J50 'min (inner slope) 'min (outer slope) X x50 (outer) x50 (inner) Figure 3: Threshold method for CD-SEM edge location extraction [10] 4. SEM Edge Projection Study The edges extracted from the SEM threshold method only vaguely contain the height information [10]. To better define the measurement height at the edge location, we use a projection method. In a simple investigation of the edge measurement height on the resist target, the following edge projection process was created: 1. Obtain 3D target resist profile (trench) via lithography simulation 2. Simulate top-down CD-SEM image of the target and extract edges using a 60% threshold 3. Project the edge extracted from SEM image back onto 3D target profile then determine the height at the intersection. The process is shown in Fig. 4. Proc. of SPIE Vol B-3

4 Target Profile generated by PROLITHTM Stochastic resist model Synthetic SEM image generated by PROLITHTM SEM simulator Figure 4: The process to perform the SEM edge projection on to target. 3D target profile generated by lithography simulator (left), simulated SEM image (middle), projected SEM edges on target (right) 4.1 SEM Edge Measurement Height: Continuum vs Stochastic Resist Model Using the edge projection method, we first studied the SEM edge measurement height on a continuum resist simulation. The target feature is a 100nm trench on a 400nm pitch printed in resist on organic BARC, exposed using 193nm ArF immersion lithography. The resist thickness after development was 85nm. Figure 5 (left) shows the simulated SEM image and Figure 5 (right) shows the corresponding resist profile with SEM edges projected. It can be seen that the projected SEM edge is at a constant height. Proc. of SPIE Vol B-4

5 Figure 5: Simulated SEM image with continuum resist model (left) and projected SEM edges on target (right) While continuum resist model captures the resist profile in an average sense, it ignores the stochastic nature of the resist and exposing light which strongly affect resist profile and edge roughness [11, 12]. Figure 6 shows the SEM edge locations on a stochastic resist profile target. The effective metrology height clearly varies significantly along the trench. The extracted SEM edge in general is a 2D compression of a 3D surface. Figure 6: Simulated SEM image with stochastic resist model (left) and projected SEM edges on target (right) Proc. of SPIE Vol B-5

6 4.2 Metrology Height Variation with SEM Threshold Setting Experimentally, we know that changing the SEM threshold leads to different metrology CD results. Picking the proper threshold on SEM is crucial to get meaningful metrology result. Using the edge projection method, one can directly study the impact of threshold setting on metrology and also be able to gauge the proper threshold for desired metrology. As an example, we study the 100 nm trench in 400 nm pitch case. For a trench feature, at low threshold the CD-SEM tends to measure near the bottom of the trench while at high threshold, the CD-SEM measures nearer the top. With the edge projection method, we can study this threshold effect on metrology in 3D. We extract SEM edge at two thresholds, 60% and 90%. Then the edges are projected on the target. Fig 6 shows the projected edges and metrology results. Fig 6 (left) shows the 60% threshold case; the minimum metrology height is at bottom of the trench and maximum metrology height is at 30 nm, with an average of around 12nm. Fig 6 (right) shows the 90% threshold case; the minimum metrology height is around 33nm and maximum metrology height is around 51nm, with the mean metrology height around 43nm. 60% Threshold 90% Threshold Blue Edge Red Edge Blue Edge Red Edge Mean height nm 12.64nm Min height O nm O nm Max height nm nm Mean height nm nm Min height nm nm Max height nm nm Height Span nm nm Height Span nm nm Figure 7: Projected SEM edge on 3D target at 60% SEM threshold (left) and Projected SEM edge on 3D target at 90% SEM threshold (right) 5. Calibration of an ArF Immersion Photoresist with SEM Simulator The following resist calibration was performed on dense to iso trenches at multiple pitches in stochastic mode [8]. Top-down CD-SEM images and cross sections were courtesy collected by imec. Exposures were performed with a NXT:1900 ArF immersion system with NA=1.35, XY polarization, and custom illumination. A comparison between a calibrated resist model and the cross-section SEM is shown in Figure 5 for multiple pitches. Top images are experimental CD-SEMs, while the bottom images are synthetic SEM images. Proc. of SPIE Vol B-6

7 mask 46/90nm mask 58/120nm mask 66/140nm mask 74/200nm mask 82/240nm mask 93/400nm E Figure 8: Comparison between top-down CD-SEM experimental results (top) and simulated SEM results (bottom) through pitch. PROLITH (KLA-Tencor, Milpitas, CA, USA) was calibrated using SEM images to mimic top-down CD- dose and foci gave a global RMS error value below 2nm. Such low value was mainly because experimental vs. simulation metrology match due to the SEM emulator. SEM metrology and cross-section resist profile [8]. CD and LWR calibration results through pitch, exposure 6. Metrology Height Variation acrosss Focus for Isolated and Dense Trenches Using the calibrated ArF immersion photoresist model, the impact of scanner focus on effective metrology height was studied for two types of features. The first case is an isolated feature, 85nm trench in 400nm pitch. The virtual lithography is carried out for four focus settings ranging from -0.06um to 0.075um. The SEM threshold setting was 60%. The trench CD is shown in Fig.9 which varies from 76nm to 89nm. The more interesting results are the changes in effective metrology height which are shown in Fig. 10. This varies from 22nm to 5nm with a mean height of 13nm. The span of metrology height across focus conditions is large for this feature. The second case is a dense feature, 46nm trench in 90nm pitch. The focus conditions also varies from um to 0.075um. Again, a SEM threshold setting of 60% was used. The trench CD results are shown in Fig.11. The variation of CD across pitch is from 40nm to 43nm, much less variation compare to the isolated feature. The metrology height also varies less compared to isolated feature. The range of metrology height is 23nm to 27nm with mean at 25nm as shown in Fig. 12. Proc. of SPIE Vol B-7

8 F=-0.06 F= SEM CD= nm F=0.075 SEM CD =87.68 nm F=0.03 o SEM CD =83.26 nm SEM CD =89.06 nm Figure 9: Projected SEM edge on 85nm trench in 400nm pitch across focus. Projected SEM edge on target at um focus (top left), Projected SEM edge on target at um focus (top right), Projected SEM edge on target at 0.075um focus (bottom left), Projected SEM edge on target at 0.03um focus (bottom right) Average Metrology Height (nm) focus Figure 10: Metrology height varies across focus conditions for 100nm trench in 400nm pitch feature Proc. of SPIE Vol B-8

9 F=-0.06 F= SEM CD= 41.27nm F=0.075 SEM CD =42.53 nm F =0.03 SEM CD =40.13 nm SEM CD =43.04 nm Figure 11: Projected SEM edge on 46nm trench in 90 nm pitch across focus. Projected SEM edge on target at um focus (top left), Projected SEM edge on target at um focus (top right), Projected SEM edge on target at um focus (bottom left), Projected SEM edge on target at 0.03 um focus (bottom right) Metrology Height (nm) focus Figure 12: Metrology height varies across focus conditions for 46nm trench in 90nm pitch feature Comparing these results, we see that the dense structure has an effective measurement height of 25nm and is relatively insensitive to focus setting, whilst the isolated structure has a mean metrology height of around half of that of the dense structure (13 nm) and it changes by ±9nm through the focus range under study. This information can be used to estimate the errors incurred by the common computational lithography assumption that edge positions extracted from CD-SEM data can be predicted by slicing the resist simulation space at a single fixed metrology height. Proc. of SPIE Vol B-9

10 We compare the CD measurement of a trench by slicing a simulated resist profile polygon at certain metrology height with the threshold derived value from the simulated SEM image. In Fig 13, the green curve is the fixed metrology height polygon CD, the orange curve is the simulated SEM CD and the blue curve is the delta. In Fig 13 (left), the CD measured from polygon is extracted at 13 nm metrology height (which was obtained from previous step shown in Fig 10). The error is overall small over the center focus range but quickly increases to around 10 nm in the negative side of focus. Fig 13 (middle) shows that data for the 46nm trench in 90nm pitch feature. The CD measured from the polygon is extracted at a 25 nm metrology height (obtained from the analysis shown in Fig 12). The matching between SEM CD and Polygon CD is very good in this case. However, if the polygon CD is instead extracted at 13nm (i.e. matching metrology height for the 400nm pitch), a large error is observed (see Fig 13 (right)). In summary, the effective metrology height of resist appears to be strongly pitch dependent and in some cases focus dependent also. 85nm trench in 400nm pitch 46nm trench in 90nm pitch 46nm trench in 90nm pitch si _.._ É 50 o SBA meas./ -l-paypoo metrdogy -AbeoMneertar o -o-polt ae metrdogyat lâmheight -0- SEIA metrology Focus rms max error 4.3 nm 9.8 nm Focus rms max error nm 2.06 nm Focus rms max error 4.51 nm 8.74 nm 0.1 Figure 13: Comparison of CD measurement at certain metrology height with SEM measurements across focus for 85nm trench in 400nm pitch at 13nm metrology height (left), for 46nm trench in 90nm pitch at 25nm metrology height (middle), for 46nm trench in 90nm pitch at 12nm metrology height. 7. Metrology Dependent Edge Placement Error (EPE) Finally, we investigate the metrology height variation of a SEM edge contour extracted from a more complicated 2D elbow mask pattern. The pattern consists of three elbow features. Using the SEM simulator, we extract the SEM contour and project that contour back onto the resist profile, as shown in Fig 14. The projected edge shows a lower metrology height on the external edges of the pattern and a higher metrology height on the internal edges. A possible explanation is the visibility reduction in the trench push the edge higher. This metrology height variation introduces what we call edge placement error (EPE), due to the metrology artifacts. Fig 15 compares the edge from SEM image (red) with the contour extracted from the simulated resist profile at a 13nm metrology height. At the external edges of the pattern, the contours from the SEM and polygon overlap well. However, the internal edges show non-negligible edge placement error. In this example EPE values of up to 4nm are observed (resulting in CD errors of up to 8nm). These type of errors may be a significant contributor to reported mismatches between lithography simulation results and experimental results. Proc. of SPIE Vol B-10

11 Linewides = 60nm Extract SEM Contour and project on 3D resist profile f J Simulated Elbows 2D SEM image Average metrology height= 15nm Figure 14: Simulate SEM image of elbow feature (left) and SEM edge contour projected on 3D profile (right) Outer edge matches well Polygon Contour Inner edge show edge placement error SEM Contour Smax = 4 nm Figure 15: SEM edge contour (red) vs polygon edge contour (blue) Proc. of SPIE Vol B-11

12 8. Summary and Conclusions We have shown that by projecting edges determined from a synthetic CD-SEM image onto the corresponding simulated lithography profile, an understanding of SEM metrology artifacts can be gained. Firstly, the height on the resist profile where the SEM has placed the edge can be determined. We see that the effective metrology height has sensitivity not only to the threshold value employed but also the feature geometry and the exposure focus setting. Finally, we show that using single metrology height to extract polygons from a lithography simulation introduces significant edge placement errors when compared to a contour extracted from a top down CD SEM image. The authors want to thank Joost Bekaert and Nadia Vandernbroeck from imec to provide CD SEM images. The authors also would like to thank Julien Mailfert from Lam Research for insightful suggestions and discussion. References 1. Reimer, L., Scanning Electron Microscopy, 2 nd Ed., Springer, Berlin (1998). 2. Grella, L., Lorusso, G., Niemi, T., Chuang, T., and Adler, D., "Three-dimensional simulations of SEM imaging and charging", Proc. SPIE 4344 (2001). 3. Suzuki, M., Borisov, S., Babin, S., and Ito, H. "Optimizing the detector configuration for SEM topographic contrast by using a Monte Carlo simulation", Proc. SPIE 7729 (2010). 4. Villarrubia J. S., Vladár A. E., Ming B., et al., Scanning electron microscope measurement of width and shape of 10 nm patterned lines using a JMONSEL-modeled library, Ultramicroscopy, 154, 15 (2015). 5. Joy, D. C. Monte Carlo Modeling for Electron Microscopy and Microanalysis, Oxford University Press (1995). 6. Bunday B., Germer T., Vartanian V., Cordes A., Cepler A., and Settens C., Gaps Analysis for CD Metrology Beyond the 22 nm Node, Proc. SPIE, 8681, 86813B (2013). 7. Smith, M.D., Fang, C., Biafore, J.J., Vaglio Pret A., Robertson, S.A., Investigation of interactions between metrology and lithography with a CD SEM simulator. Proc. SPIE 9051 (2014) 8. Fang, C., Vaglio Pret A., Smith, M.D., Biafore J.J., Robertson, S.A., Understanding the impact of CD- SEM artifacts on metrology via experiments and simulations, Proc. SPIE Vol.9424, 94242A Fang, etc., "A compact physical CD-SEM simulator for IC photolithography modeling applications", Proc. SPIE 9326, Scanning Microscopy, p.42 (2014) 10. Yamaguchi, A., Koyanagi, H., Tanaka, J., Inoue, O., Kawada, H., Robust edge detection with considering three-dimensional sidewall feature by CD-SEM, Proc. SPIE 7971 (2011). Proc. of SPIE Vol B-12

13 11. Robertson, S. A., Reilly, M.T., Biafore, J. J., Wandell, J., Smith, M.D., Stochastic simulation of resist linewidth roughness and critical dimension uniformity for optical lithography, J. Micro/Nanolith. MEMS, MOEMS. 9 (2010). 12. Biafore, J. J.; Smith, M. D.; Robertson, S. A.; Graves, T.; Mechanistic Simulation of Line-Edge Roughness, Proc.SPIE, vol. 6519, pp Y (2007). Proc. of SPIE Vol B-13

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization New 3-Dimensional AFM for CD Measurement and Sidewall Characterization ASTRACT Yueming Hua *, Cynthia uenviaje-coggins Park Systems Inc. 34 Olcott St. Santa Clara, CA 9554, USA Yong-ha Lee, Jung-min Lee,

More information

Measuring Line Edge Roughness: Fluctuations in Uncertainty

Measuring Line Edge Roughness: Fluctuations in Uncertainty Tutor6.doc: Version 5/6/08 T h e L i t h o g r a p h y E x p e r t (August 008) Measuring Line Edge Roughness: Fluctuations in Uncertainty Line edge roughness () is the deviation of a feature edge (as

More information

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b a Cymer Inc. 77 Thornmint Ct., San Diego, CA 97 USA

More information

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication Total Management from Rule Definition to Silicon Fabrication Soichi Inoue, Toshiya Kotani, Shigeki Nojima, Satoshi Tanaka, Kohji Hashimoto, and Ichiro Mori & Manufacturing Engineering Center, Toshiba Corporation,

More information

Using the Normalized Image Log-Slope

Using the Normalized Image Log-Slope T h e L i t h o g r a p h y E x p e r t (Winter 2001) Using the Normalized mage Log-Slope Chris A. Mack, FNLE Technologies, A Division of KLA-Tencor, Austin, Texas Projection imaging tools, such as scanners,

More information

Utilization of AIMS Bossung plots to predict Qz height deviations from nominal

Utilization of AIMS Bossung plots to predict Qz height deviations from nominal Utilization of AIMS Bossung plots to predict Qz height deviations from nominal Anthony Garetto 1, Doug Uzzel 2, Krister Magnusson 1, Jon Morgan 2, Gilles Tabbone 1 1 Carl Zeiss SMS, Carl-Zeiss-Promenade

More information

PROVE, the next generation registration metrology tool, status report

PROVE, the next generation registration metrology tool, status report PROVE, the next generation registration metrology tool, status report Dirk Beyer a, Patricia Gabella b, Greg Hughes b, Gerd Klose c, Norbert Rosenkranz a a Carl Zeiss SMS GmbH (Germany) Carl-Zeiss-Promenade

More information

Electron Microscopy 3. SEM. Image formation, detection, resolution, signal to noise ratio, interaction volume, contrasts

Electron Microscopy 3. SEM. Image formation, detection, resolution, signal to noise ratio, interaction volume, contrasts Electron Microscopy 3. SEM Image formation, detection, resolution, signal to noise ratio, interaction volume, contrasts 3-1 SEM is easy! Just focus and shoot "Photo"!!! Please comment this picture... Any

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool

Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool Toru Suzuki* a, Kouji Kakizaki**, Takashi Matsunaga*, Satoshi Tanaka**, Masashi Shinbori**, Masaya Yoshino**,

More information

Application Note # EDS-10 Advanced light element and low energy X-ray analysis of a TiB 2 TiC SiC ceramic material using EDS spectrum imaging

Application Note # EDS-10 Advanced light element and low energy X-ray analysis of a TiB 2 TiC SiC ceramic material using EDS spectrum imaging Quantitative analysis Ceramics sample Peak deconvolution EDS map Phase analysis Application Note # EDS-10 Advanced light element and low energy X-ray analysis of a TiB 2 TiC SiC ceramic material using

More information

Using the Normalized Image Log-Slope, part 3

Using the Normalized Image Log-Slope, part 3 T h e L i t h o g r a p h y E x p e r t (Summer 2001) Using the Normalized Image Log-Slope, part 3 Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in parts 1 and 2

More information

Proton tracking for medical imaging and dosimetry

Proton tracking for medical imaging and dosimetry Proton tracking for medical imaging and dosimetry J.Taylor, P.Allport, G.Casse For the PRaVDA Consortium 1 Background and motivation - What is the PRaVDA experiment? - Why are we using Monte Carlo? GEANT4

More information

Scanning He + Ion Beam Microscopy and Metrology. David C Joy University of Tennessee, and Oak Ridge National Laboratory

Scanning He + Ion Beam Microscopy and Metrology. David C Joy University of Tennessee, and Oak Ridge National Laboratory Scanning He + Ion Beam Microscopy and Metrology David C Joy University of Tennessee, and Oak Ridge National Laboratory The CD-SEM For thirty years the CD-SEM has been the tool for metrology But now, as

More information

Process Improvements for Ultra-Thick Photoresist Using a Broadband Stepper

Process Improvements for Ultra-Thick Photoresist Using a Broadband Stepper Process Improvements for Ultra-Thick Photoresist Using a Broadband Stepper Warren W. Flack, Ha-Ai Nguyen Ultratech Stepper, Inc. San Jose, CA 95134 Elliott Capsuto ShinEtsuMicroSi, Inc. San Jose, CA 95112

More information

DOING PHYSICS WITH MATLAB COMPUTATIONAL OPTICS RAYLEIGH-SOMMERFELD DIFFRACTION INTEGRAL OF THE FIRST KIND

DOING PHYSICS WITH MATLAB COMPUTATIONAL OPTICS RAYLEIGH-SOMMERFELD DIFFRACTION INTEGRAL OF THE FIRST KIND DOING PHYSICS WITH MATLAB COMPUTATIONAL OPTICS RAYLEIGH-SOMMERFELD DIFFRACTION INTEGRAL OF THE FIRST KIND THE THREE-DIMENSIONAL DISTRIBUTION OF THE RADIANT FLUX DENSITY AT THE FOCUS OF A CONVERGENCE BEAM

More information

Metrology Standards for Semiconductor Manufacturing Yu Guan and Marco Tortonese VLSI Standards, Inc., 3087 North First Street, San Jose, CA 95134, USA

Metrology Standards for Semiconductor Manufacturing Yu Guan and Marco Tortonese VLSI Standards, Inc., 3087 North First Street, San Jose, CA 95134, USA Metrology Standards for Semiconductor Manufacturing Yu Guan and Marco Tortonese VLSI Standards, Inc., 3087 North First Street, San Jose, CA 95134, USA Abstract In semiconductor manufacturing, the performance

More information

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system Measurement results on after etch resist coated features on the new Leica Microsystems LWM27 DUV critical dimension metrology system John Whittey Leica Microsystems Inc. 1761 Dixon Road, Oakdale, CA 95361

More information

Application Note #503 Comparing 3D Optical Microscopy Techniques for Metrology Applications

Application Note #503 Comparing 3D Optical Microscopy Techniques for Metrology Applications Screw thread image generated by WLI Steep PSS angles WLI color imaging Application Note #503 Comparing 3D Optical Microscopy Techniques for Metrology Applications 3D optical microscopy is a mainstay metrology

More information

VECTORAL IMAGING THE NEW DIRECTION IN AUTOMATED OPTICAL INSPECTION

VECTORAL IMAGING THE NEW DIRECTION IN AUTOMATED OPTICAL INSPECTION VECTORAL IMAGING THE NEW DIRECTION IN AUTOMATED OPTICAL INSPECTION Mark J. Norris Vision Inspection Technology, LLC Haverhill, MA mnorris@vitechnology.com ABSTRACT Traditional methods of identifying and

More information

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc. Failure Analysis System for Submicron Semiconductor Devices 68 Failure Analysis System for Submicron Semiconductor Devices Munetoshi Fukui Yasuhiro Mitsui, Ph. D. Yasuhiko Nara Fumiko Yano, Ph. D. Takashi

More information

A Study of Haze Generation as Thin Film Materials

A Study of Haze Generation as Thin Film Materials A Study of Haze Generation as Thin Film Materials Ju-Hyun Kang, Han-Sun Cha*, Sin-Ju Yang, Chul-Kyu Yang, Jin-Ho Ahn*, Kee-Soo Nam, Jong-Min Kim**, Manish Patil**, Ik-Bum Hur** and Sang-Soo Choi** Blank

More information

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure Ivan Lalovic a+, Nigel Farrar* b, Kazuhiro Takahashi c, Eric Kent a, Daniel Colon b, German Rylov b, Alden Acheta a, Koji Toyoda d, Harry

More information

CALCULATION METHODS OF X-RAY SPECTRA: A COMPARATIVE STUDY

CALCULATION METHODS OF X-RAY SPECTRA: A COMPARATIVE STUDY 243 CALCULATION METHODS OF X-RAY SPECTRA: A COMPARATIVE STUDY B. Chyba, M. Mantler, H. Ebel, R. Svagera Technische Universit Vienna, Austria ABSTRACT The accurate characterization of the spectral distribution

More information

Plastic Film Texture Measurement With 3D Profilometry

Plastic Film Texture Measurement With 3D Profilometry Plastic Film Texture Measurement With 3D Profilometry Prepared by Jorge Ramirez 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials.

More information

Physics 441/2: Transmission Electron Microscope

Physics 441/2: Transmission Electron Microscope Physics 441/2: Transmission Electron Microscope Introduction In this experiment we will explore the use of transmission electron microscopy (TEM) to take us into the world of ultrasmall structures. This

More information

EUV lithography NXE platform performance overview

EUV lithography NXE platform performance overview EUV lithography NXE platform performance overview Rudy Peeters 2014 SPIE Advanced Lithography, San Jose CA, 9048-54 Slide 2 Contents Roadmap NXE:3100 NXE:3300B Summary and acknowledgements ASML EUV technology

More information

Calibration of AFM with virtual standards; robust, versatile and accurate. Richard Koops VSL Dutch Metrology Institute Delft

Calibration of AFM with virtual standards; robust, versatile and accurate. Richard Koops VSL Dutch Metrology Institute Delft Calibration of AFM with virtual standards; robust, versatile and accurate Richard Koops VSL Dutch Metrology Institute Delft 19-11-2015 VSL Dutch Metrology Institute VSL is the national metrology institute

More information

Optimization of Photosensitive Polyimide Process for Cost Effective Packaging

Optimization of Photosensitive Polyimide Process for Cost Effective Packaging Optimization of Photosensitive Polyimide Process for Cost Effective Packaging Peter Cheang, Lorna Christensen, Corinne Reynaga Ultratech Stepper, Inc. San Jose, CA 95134 Recent developments in the use

More information

Proper Implementation of Industrial CT Scanning to Reduce Inspection Costs & Get to Production Faster. Jesse Garant, JG&A Metrology Center

Proper Implementation of Industrial CT Scanning to Reduce Inspection Costs & Get to Production Faster. Jesse Garant, JG&A Metrology Center Proper Implementation of Industrial CT Scanning to Reduce Inspection Costs & Get to Production Faster Jesse Garant, JG&A Metrology Center Traditional Metrology and Inspection Tactile Devices (Touch Probe)

More information

CASINO V2.42 A Fast and Easy-to-use Modeling Tool for Scanning Electron Microscopy and Microanalysis Users

CASINO V2.42 A Fast and Easy-to-use Modeling Tool for Scanning Electron Microscopy and Microanalysis Users SCANNING VOL. 29, 92 11 (27) Wiley Periodicals, Inc. CASINO V2.42 A Fast and Easy-to-use Modeling Tool for Scanning Electron Microscopy and Microanalysis Users DOMINIQUE DROUIN 1,ALEXANDRE RÉAL COUTURE

More information

Optical Design Tools for Backlight Displays

Optical Design Tools for Backlight Displays Optical Design Tools for Backlight Displays Introduction Backlights are used for compact, portable, electronic devices with flat panel Liquid Crystal Displays (LCDs) that require illumination from behind.

More information

DETECTION OF COATINGS ON PAPER USING INFRA RED SPECTROSCOPY

DETECTION OF COATINGS ON PAPER USING INFRA RED SPECTROSCOPY DETECTION OF COATINGS ON PAPER USING INFRA RED SPECTROSCOPY Eduard Gilli 1,2 and Robert Schennach 1, 2 1 Graz University of Technology, 8010 Graz, Austria 2 CD-Laboratory for Surface Chemical and Physical

More information

Three-Dimensional Electron Microscopy Simulation with the CASINO Monte Carlo Software

Three-Dimensional Electron Microscopy Simulation with the CASINO Monte Carlo Software SCANNING VOL. 33, 135 146 (2011) & Wiley Periodicals, Inc. Three-Dimensional Electron Microscopy Simulation with the CASINO Monte Carlo Software HENDRIX DEMERS 1,NICOLAS POIRIER-DEMERS 1,ALEXANDRE RE AL

More information

Application Report. Propeller Blade Inspection Station

Application Report. Propeller Blade Inspection Station 34935 SE Douglas Street, Suite, Snoqualmie, WA 9874 Ph: 425-396-5577 Fax: 425-396-586 Application Report Propeller Blade Inspection Station Prepared By Kyle Johnston, Ph. D. Metron Systems Inc.5.5 3 2.5

More information

Injection moulding and modelling on a micro scale

Injection moulding and modelling on a micro scale Injection moulding and modelling on a micro scale Technology Update Injection moulding and welding of plastics 11 November 2014 Research Projects (National / European) Micro/Nano/Multimaterial Manufacturing

More information

Correcting the Lateral Response Artifact in Radiochromic Film Images from Flatbed Scanners

Correcting the Lateral Response Artifact in Radiochromic Film Images from Flatbed Scanners Correcting the Lateral Response Artifact in Radiochromic Film Images from Flatbed Scanners Background The lateral response artifact (LRA) in radiochromic film images from flatbed scanners was first pointed

More information

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson What s New in Lithography? Wafer dimensions are still accelerating downward towards ever smaller features

More information

Characterization of an Ultra-Thick Positive Photoresist for Electroplating Applications

Characterization of an Ultra-Thick Positive Photoresist for Electroplating Applications Characterization of an Ultra-Thick Positive Photoresist for Electroplating Applications Warren W. Flack, Ha-Ai Nguyen Ultratech Stepper, Inc. San Jose, CA 95134 Elliott Capsuto Shin-Etsu MicroSi, Inc.

More information

Acoustic GHz-Microscopy: Potential, Challenges and Applications

Acoustic GHz-Microscopy: Potential, Challenges and Applications Acoustic GHz-Microscopy: Potential, Challenges and Applications A Joint Development of PVA TePLa Analytical Systems GmbH and Fraunhofer IWM-Halle Dr. Sebastian Brand (Ph.D.) Fraunhofer CAM Fraunhofer Institute

More information

Fluid structure interaction of a vibrating circular plate in a bounded fluid volume: simulation and experiment

Fluid structure interaction of a vibrating circular plate in a bounded fluid volume: simulation and experiment Fluid Structure Interaction VI 3 Fluid structure interaction of a vibrating circular plate in a bounded fluid volume: simulation and experiment J. Hengstler & J. Dual Department of Mechanical and Process

More information

Scanners and How to Use Them

Scanners and How to Use Them Written by Jonathan Sachs Copyright 1996-1999 Digital Light & Color Introduction A scanner is a device that converts images to a digital file you can use with your computer. There are many different types

More information

Manual for simulation of EB processing. Software ModeRTL

Manual for simulation of EB processing. Software ModeRTL 1 Manual for simulation of EB processing Software ModeRTL How to get results. Software ModeRTL. Software ModeRTL consists of five thematic modules and service blocks. (See Fig.1). Analytic module is intended

More information

... complement Information for Processing

... complement Information for Processing AZ nlof 2xx Negative Resist... complement Information for Processing revised 25--7 General Information AZ nlof 2xx is a family of negative s, with the exposed remaining on the substrate after development.

More information

EDS system. CRF Oxford Instruments INCA CRF EDAX Genesis EVEX- NanoAnalysis Table top system

EDS system. CRF Oxford Instruments INCA CRF EDAX Genesis EVEX- NanoAnalysis Table top system EDS system Most common X-Ray measurement system in the SEM lab. Major elements (10 wt% or greater) identified in ~10 secs. Minor elements identifiable in ~100 secs. Rapid qualitative and accurate quantitative

More information

Optical Methods of Surface Measurement

Optical Methods of Surface Measurement Optical Methods of Surface Measurement Ted Vorburger, Guest Researcher National Institute of Standards and Technology (NIST) Measurement Science and Standards in Forensic Firearms Analysis 2012 NIST, Gaithersburg,

More information

SENSORS. Fiber Optic Sensors - S70. Advanced fiber optic amplifiers for high speed and low contrast applications

SENSORS. Fiber Optic Sensors - S70. Advanced fiber optic amplifiers for high speed and low contrast applications Fiber Optic Sensors - S7 Advanced fiber optic amplifiers for high speed and low contrast applications DIN rail mountable models with dual digital displays speed models: 2 μs...5 ms Super high speed models:

More information

Reflectance Measurements of Materials Used in the Solar Industry. Selecting the Appropriate Accessories for UV/Vis/NIR Measurements.

Reflectance Measurements of Materials Used in the Solar Industry. Selecting the Appropriate Accessories for UV/Vis/NIR Measurements. T e c h n i c a l N o t e Reflectance Measurements of Materials Used in the Solar Industry UV/Vis/NIR Author: Dr. Jeffrey L. Taylor PerkinElmer, Inc. 710 Bridgeport Avenue Shelton, CT 06484 USA Selecting

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

Metrology of silicon photovoltaic cells using coherence correlation interferometry

Metrology of silicon photovoltaic cells using coherence correlation interferometry Loughborough University Institutional Repository Metrology of silicon photovoltaic cells using coherence correlation interferometry This item was submitted to Loughborough University's Institutional Repository

More information

3D Building Roof Extraction From LiDAR Data

3D Building Roof Extraction From LiDAR Data 3D Building Roof Extraction From LiDAR Data Amit A. Kokje Susan Jones NSG- NZ Outline LiDAR: Basics LiDAR Feature Extraction (Features and Limitations) LiDAR Roof extraction (Workflow, parameters, results)

More information

The Optimization and Characterization of Ultra-Thick Photoresist Films

The Optimization and Characterization of Ultra-Thick Photoresist Films The Optimization and Characterization of Ultra-Thick Photoresist Films Warren W. Flack, Warren P. Fan, Sylvia White Ultratech Stepper, Inc. San Jose, CA 95134 There are an increasing number of advanced

More information

Atomic Force Microscopy Observation and Characterization of a CD Stamper, Lycopodium Spores, and Step-Height Standard Diffraction Grating

Atomic Force Microscopy Observation and Characterization of a CD Stamper, Lycopodium Spores, and Step-Height Standard Diffraction Grating Atomic Force Microscopy Observation and Characterization of a CD Stamper, Lycopodium Spores, and Step-Height Standard Diffraction Grating Michael McMearty and Frit Miot Special Thanks to Brendan Cross

More information

Coating Thickness and Composition Analysis by Micro-EDXRF

Coating Thickness and Composition Analysis by Micro-EDXRF Application Note: XRF Coating Thickness and Composition Analysis by Micro-EDXRF www.edax.com Coating Thickness and Composition Analysis by Micro-EDXRF Introduction: The use of coatings in the modern manufacturing

More information

Scanning Acoustic Microscopy Training

Scanning Acoustic Microscopy Training Scanning Acoustic Microscopy Training This presentation and images are copyrighted by Sonix, Inc. They may not be copied, reproduced, modified, published, uploaded, posted, transmitted, or distributed

More information

THICKNESS MEASUREMENT THICKNESS

THICKNESS MEASUREMENT THICKNESS THICKNESS MEASUREMENT THICKNESS ASTM F657: The distance through a wafer between corresponding points on the front and back surface. Thickness is expressed in microns or mils (thousandths of an inch). TOTAL

More information

Lateral Resolution of EDX Analysis with Low Acceleration Voltage SEM

Lateral Resolution of EDX Analysis with Low Acceleration Voltage SEM Original Paper Lateral Resolution of EDX Analysis with Low Acceleration Voltage SEM Satoshi Hashimoto 1, Tsuguo Sakurada 1, and Minoru Suzuki 2 1 JFE-Techno research corporation, 1-1 Minamiwatarida, Kawasaki,

More information

Standard Test Method for Classification of Film Systems for Industrial Radiography 1

Standard Test Method for Classification of Film Systems for Industrial Radiography 1 Designation: E 1815 96 (Reapproved 2001) Standard Test Method for Classification of Film Systems for Industrial Radiography 1 This standard is issued under the fixed designation E 1815; the number immediately

More information

Assessment of Camera Phone Distortion and Implications for Watermarking

Assessment of Camera Phone Distortion and Implications for Watermarking Assessment of Camera Phone Distortion and Implications for Watermarking Aparna Gurijala, Alastair Reed and Eric Evans Digimarc Corporation, 9405 SW Gemini Drive, Beaverton, OR 97008, USA 1. INTRODUCTION

More information

Multi-Channel Radiochromic Film Dosimetry. Adapted from A.Micke Spain, April 2014

Multi-Channel Radiochromic Film Dosimetry. Adapted from A.Micke Spain, April 2014 Multi-Channel Radiochromic Film Dosimetry Adapted from A.Micke Spain, April 2014 Single Channel Film Dosimetry Calibration Curve X=RR ave = R ave (D) D R = D R (R ave ) Color channels X=RGB D X = D( X

More information

Color holographic 3D display unit with aperture field division

Color holographic 3D display unit with aperture field division Color holographic 3D display unit with aperture field division Weronika Zaperty, Tomasz Kozacki, Malgorzata Kujawinska, Grzegorz Finke Photonics Engineering Division, Faculty of Mechatronics Warsaw University

More information

GRID AND PRISM SPECTROMETERS

GRID AND PRISM SPECTROMETERS FYSA230/2 GRID AND PRISM SPECTROMETERS 1. Introduction Electromagnetic radiation (e.g. visible light) experiences reflection, refraction, interference and diffraction phenomena when entering and passing

More information

University of California at Santa Cruz Electrical Engineering Department EE-145L: Properties of Materials Laboratory

University of California at Santa Cruz Electrical Engineering Department EE-145L: Properties of Materials Laboratory University of California at Santa Cruz Electrical Engineering Department EE-145L: Properties of Materials Laboratory Lab 8: Optical Absorption Spring 2002 Yan Zhang and Ali Shakouri, 05/22/2002 (Based

More information

Manufacturing Process and Cost Estimation through Process Detection by Applying Image Processing Technique

Manufacturing Process and Cost Estimation through Process Detection by Applying Image Processing Technique Manufacturing Process and Cost Estimation through Process Detection by Applying Image Processing Technique Chalakorn Chitsaart, Suchada Rianmora, Noppawat Vongpiyasatit Abstract In order to reduce the

More information

How To Increase Areal Density For A Year

How To Increase Areal Density For A Year R. Fontana¹, G. Decad¹, S. Hetzler² ¹IBM Systems Technology Group, ²IBM Research Division 20 September 2012 Technology Roadmap Comparisons for TAPE, HDD, and NAND Flash: Implications for Data Storage Applications

More information

Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff

Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff December 2012 Specifying Advanced Plasma Deposited Hard Coated Optical Bandpass and Dichroic Filters. Introduction

More information

Calorimetry in particle physics experiments

Calorimetry in particle physics experiments Calorimetry in particle physics experiments Unit n. 8 Calibration techniques Roberta Arcidiacono Lecture overview Introduction Hardware Calibration Test Beam Calibration In-situ Calibration (EM calorimeters)

More information

A PHOTOGRAMMETRIC APPRAOCH FOR AUTOMATIC TRAFFIC ASSESSMENT USING CONVENTIONAL CCTV CAMERA

A PHOTOGRAMMETRIC APPRAOCH FOR AUTOMATIC TRAFFIC ASSESSMENT USING CONVENTIONAL CCTV CAMERA A PHOTOGRAMMETRIC APPRAOCH FOR AUTOMATIC TRAFFIC ASSESSMENT USING CONVENTIONAL CCTV CAMERA N. Zarrinpanjeh a, F. Dadrassjavan b, H. Fattahi c * a Islamic Azad University of Qazvin - nzarrin@qiau.ac.ir

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

Micro-CT for SEM Non-destructive Measurement and Volume Visualization of Specimens Internal Microstructure in SEM Micro-CT Innovation with Integrity

Micro-CT for SEM Non-destructive Measurement and Volume Visualization of Specimens Internal Microstructure in SEM Micro-CT Innovation with Integrity Micro-CT for SEM Non-destructive Measurement and Volume Visualization of Specimens Internal Microstructure in SEM Innovation with Integrity Micro-CT 3D Microscopy Using Micro-CT for SEM Micro-CT for SEM

More information

SpeedLight 2D. for efficient production of printed circuit boards

SpeedLight 2D. for efficient production of printed circuit boards laser direct imaging SpeedLight 2D laser direct imaging platform for efficient production of printed circuit boards MANZ AG /// Manz SpeedLight 2D /// 2 History of the development of Manz SpeedLight 2D

More information

Monte Carlo simulation of a scanning whole body counter and the effect of BOMAB phantom size on the calibration.

Monte Carlo simulation of a scanning whole body counter and the effect of BOMAB phantom size on the calibration. Monte Carlo simulation of a scanning whole body counter and the effect of BOMAB phantom size on the calibration. Gary H. Kramer, Linda C. Burns and Steven Guerriere Human Monitoring Laboratory, Radiation

More information

Regularities of Signal and Sensitivity Variation of a Reflection Fiber Optopair Sensor Dependent on the Angle between Axes of Fiber Tips

Regularities of Signal and Sensitivity Variation of a Reflection Fiber Optopair Sensor Dependent on the Angle between Axes of Fiber Tips Nonlinear Analysis: Modelling and Control, 9, Vol., No., 9 Regularities of Signal and Sensitivity Variation of a Reflection Fiber Optopair Sensor Dependent on the Angle between Axes of Fiber Tips V. Kleiza,

More information

Application Example: Quality Control. Turbines: 3D Measurement of Water Turbines

Application Example: Quality Control. Turbines: 3D Measurement of Water Turbines Application Example: Quality Control Turbines: 3D Measurement of Water Turbines Measuring Systems: ATOS, TRITOP Keywords: Kaplan, Francis, Pelton, angles 3D scanning of turbines was performed successfully

More information

Automation of a CT Acquisition: A System-based User-Support. 1. Introduction. Bärbel KRATZ 1, Frank HEROLD 1, Malte KURFISS 1

Automation of a CT Acquisition: A System-based User-Support. 1. Introduction. Bärbel KRATZ 1, Frank HEROLD 1, Malte KURFISS 1 11th European Conference on Non-Destructive Testing (ECNDT 2014), October 6-10, 2014, Prague, Czech Republic Automation of a CT Acquisition: A System-based User-Support More Info at Open Access Database

More information

WHITE PAPER. Source Modeling for Illumination Design. Zemax A Radiant Zemax Company

WHITE PAPER. Source Modeling for Illumination Design. Zemax A Radiant Zemax Company Source Modeling for Illumination Design Source Modeling for Illumination Design Authored by: Ronald F. Rykowski and C. Benjamin Wooley Abstract As computation speeds have increased dramatically over the

More information

http://dx.doi.org/10.1117/12.906346

http://dx.doi.org/10.1117/12.906346 Stephanie Fullerton ; Keith Bennett ; Eiji Toda and Teruo Takahashi "Camera simulation engine enables efficient system optimization for super-resolution imaging", Proc. SPIE 8228, Single Molecule Spectroscopy

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing Authors: Tom Dunn, Chris Lee, Mark Tronolone, Aric Shorey Corning Incorporated Corning, New York 14831 ShoreyAB@corning.com

More information

Introduction to Beam. Area Moments of Inertia, Deflection, and Volumes of Beams

Introduction to Beam. Area Moments of Inertia, Deflection, and Volumes of Beams Introduction to Beam Theory Area Moments of Inertia, Deflection, and Volumes of Beams Horizontal structural member used to support horizontal loads such as floors, roofs, and decks. Types of beam loads

More information

Anamorphic imaging with three mirrors: a survey

Anamorphic imaging with three mirrors: a survey Anamorphic imaging with three mirrors: a survey Joseph M. Howard Optics Branch (Code 551), NASA Goddard Space Flight Center, Greenbelt, MD 20771 Ph: 301-286-0690 Fax: 301-286-0204 Joseph.M.Howard@nasa.gov

More information

AIMS EUV. Status of Concept and Feasibility Study. Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz*

AIMS EUV. Status of Concept and Feasibility Study. Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz* AIMS EUV Status of Concept and Feasibility Study 20.10.2010 Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz* *Carl Zeiss SMS GmbH, Jena,Germany **Carl Zeiss SMT GmbH,

More information

CT Traceability - Prof. Wim Dewulf, Group T - KU Leuven

CT Traceability - Prof. Wim Dewulf, Group T - KU Leuven CT Traceability - Calibration and Accuracy Prof. Wim Dewulf, Group T - KU Leuven Outline Introduction: terminology and procedures Voxel size calibration Edge offset calibration Conclusions Outline Introduction:

More information

2. MATERIALS AND METHODS

2. MATERIALS AND METHODS Difficulties of T1 brain MRI segmentation techniques M S. Atkins *a, K. Siu a, B. Law a, J. Orchard a, W. Rosenbaum a a School of Computing Science, Simon Fraser University ABSTRACT This paper looks at

More information

Direct and Reflected: Understanding the Truth with Y-S 3

Direct and Reflected: Understanding the Truth with Y-S 3 Direct and Reflected: Understanding the Truth with Y-S 3 -Speaker System Design Guide- December 2008 2008 Yamaha Corporation 1 Introduction Y-S 3 is a speaker system design software application. It is

More information

Reflection and Refraction

Reflection and Refraction Equipment Reflection and Refraction Acrylic block set, plane-concave-convex universal mirror, cork board, cork board stand, pins, flashlight, protractor, ruler, mirror worksheet, rectangular block worksheet,

More information

Electron Microscopy 3. SEM. Image formation, detection, resolution, signal to noise ratio, interaction volume, contrasts

Electron Microscopy 3. SEM. Image formation, detection, resolution, signal to noise ratio, interaction volume, contrasts Electron Microscopy 3. SEM Image formation, detection, resolution, signal to noise ratio, interaction volume, contrasts SEM is easy! Just focus and shoot "Photo"!!! Please comment this picture... Any idea

More information

Holes & Selective Laser Sintering

Holes & Selective Laser Sintering SLS is one of the most accurate 3D printing processes. The process has a layer thickness of 0.1mm. This is the thickness with which a new layer is added to each part. In any direction therefore the maximum

More information

Integration of a passive micro-mechanical infrared sensor package with a commercial smartphone camera system

Integration of a passive micro-mechanical infrared sensor package with a commercial smartphone camera system 1 Integration of a passive micro-mechanical infrared sensor package with a commercial smartphone camera system Nathan Eigenfeld Abstract This report presents an integration plan for a passive micro-mechanical

More information

2 Absorbing Solar Energy

2 Absorbing Solar Energy 2 Absorbing Solar Energy 2.1 Air Mass and the Solar Spectrum Now that we have introduced the solar cell, it is time to introduce the source of the energy the sun. The sun has many properties that could

More information

VBA Macro for construction of an EM 3D model of a tyre and part of the vehicle

VBA Macro for construction of an EM 3D model of a tyre and part of the vehicle VBA Macro for construction of an EM 3D model of a tyre and part of the vehicle Guillermo Vietti, Gianluca Dassano, Mario Orefice LACE, Politecnico di Torino, Turin, Italy. guillermo.vietti@polito.it Work

More information

Local buckling of plates made of high strength steel

Local buckling of plates made of high strength steel Local buckling of plates made of high strength steel Tapani Halmea, Lauri Huusko b,a, Gary Marquis a, Timo Björk a a Lappeenranta University of Technology, Faculty of Technology Engineering, Lappeenranta,

More information

3D Lightyear. User s Guide. SLA File Preparation Software. Addendum for 3D Lightyear Version 1.3 Software

3D Lightyear. User s Guide. SLA File Preparation Software. Addendum for 3D Lightyear Version 1.3 Software 3D Lightyear SLA File Preparation Software User s Guide Addendum for 3D Lightyear Version 1.3 Software 2002 by 3D Systems. All rights reserved. Specifications subject to change without notice. The 3D Logo

More information

HIGH-PERFORMANCE INSPECTION VEHICLE FOR RAILWAYS AND TUNNEL LININGS. HIGH-PERFORMANCE INSPECTION VEHICLE FOR RAILWAY AND ROAD TUNNEL LININGS.

HIGH-PERFORMANCE INSPECTION VEHICLE FOR RAILWAYS AND TUNNEL LININGS. HIGH-PERFORMANCE INSPECTION VEHICLE FOR RAILWAY AND ROAD TUNNEL LININGS. HIGH-PERFORMANCE INSPECTION VEHICLE FOR RAILWAYS AND TUNNEL LININGS. HIGH-PERFORMANCE INSPECTION VEHICLE FOR RAILWAY AND ROAD TUNNEL LININGS. The vehicle developed by Euroconsult and Pavemetrics and described

More information

Data Destruction for Optical Discs

Data Destruction for Optical Discs Data Destruction for Optical Discs Tom D. Milster University of Arizona Optical Sciences Center 1630 East University Blvd., Tucson AZ 85721-0094 Phone: +1-520-621-8280 FAX: +1-520-621-4358 E-mail: milster@arizona.edu

More information

Map Patterns and Finding the Strike and Dip from a Mapped Outcrop of a Planar Surface

Map Patterns and Finding the Strike and Dip from a Mapped Outcrop of a Planar Surface Map Patterns and Finding the Strike and Dip from a Mapped Outcrop of a Planar Surface Topographic maps represent the complex curves of earth s surface with contour lines that represent the intersection

More information

Analysis of Wing Leading Edge Data. Upender K. Kaul Intelligent Systems Division NASA Ames Research Center Moffett Field, CA 94035

Analysis of Wing Leading Edge Data. Upender K. Kaul Intelligent Systems Division NASA Ames Research Center Moffett Field, CA 94035 Analysis of Wing Leading Edge Data Upender K. Kaul Intelligent Systems Division NASA Ames Research Center Moffett Field, CA 94035 Abstract Some selected segments of the STS114 ascent and on-orbit data

More information

Making Better Medical Devices with Multisensor Metrology

Making Better Medical Devices with Multisensor Metrology Making Better Medical Devices with Multisensor Metrology by Nate J. Rose, Chief Applications Engineer, Optical Gaging Products (OGP) Multisensor metrology is becoming a preferred quality control technology

More information

PCB Component Placement Inspection

PCB Component Placement Inspection Executive Summary PCB Component Placement Inspection Optimet s ConoProbe Mark10 HD with a 50 mm focal length lens was used to inspect PCB component placement. The PCB board inspected contained both individual

More information

Multimodal Biometric Recognition Security System

Multimodal Biometric Recognition Security System Multimodal Biometric Recognition Security System Anju.M.I, G.Sheeba, G.Sivakami, Monica.J, Savithri.M Department of ECE, New Prince Shri Bhavani College of Engg. & Tech., Chennai, India ABSTRACT: Security

More information