Logic Expansion Card. (KPI-0045A) User Guide. ARM DUI 0074A Open Access

Size: px
Start display at page:

Download "Logic Expansion Card. (KPI-0045A) User Guide. ARM DUI 0074A Open Access"

Transcription

1 Logic Expansion Card (KPI-0045A) User Guide ARM DUI 0074A

2 Logic Expansion Card User Guide Change log Date Issue Change July 1998 A First release Proprietary notice ARM is a registered trademark of ARMLimited. EmbeddedICE and Multi-ICE are trademarks of ARM Limited. XILINX, XC4013XL, XC4062XL, XChecker and Xilinx M1 are all registered trademarks of Xilinx Inc. Adobe and Acrobat are registered trademarks of Adobe Systems Incorporated. Exemplar is a registered trademark of Exampler Logic Incorporated. Neither the whole nor any part of the information contained in, or the product described in, this document may be adapted or reproduced in any material form except with the prior written permission of the copyright holder. The product described in this document is subject to continuous developments and improvements. All particulars of the product and its use contained in this document are given by ARM Limited in good faith. However, all warranties implied or expressed, including but not limited to implied warranties or merchantability, or fitness for purpose, are excluded. This document is intended only to assist the reader in the use of the product. ARM Limited shall not be liable for any loss or damage arising from the use of any information in this document, or any error or omission in such information, or any incorrect use of the product. Confidentiality and information status This document is: Final No restriction on distribution Complete information on a developed product ii Copyright ARM Limited All rights reserved. ARM DUI 0074A

3 Preface Logic Expansion Card About this document This document describes the Logic Expansion Card (KPI-0045A) which is used with the ARM Development Board to allow you to prototype peripherals around an ARM core. Intended audience This document has been written for experienced engineers who have knowledge of the bus architecture (AMBA), coprocessor interfaces, and the ARM core they are working with. How to use this document This document is split into the following four sections: Chapter 1 Overview. This gives a brief description of the Logic Expansion Card, its main features and how to connect it to the ARM Development Board. Chapter 2 Hardware Description. This gives a description of the main areas of the Logic Expansion Card. ARM DUI 0074A Copyright ARM Limited All rights reserved. iii

4 Preface Typographical conventions Chapter 3 Configuring the Logic Expansion Card. This gives details of the jumpers and links on the Logic Expansion Card that you have to set. Appendix A Schematics. This comprises the circuit diagram, layout diagram, and connector diagrams of the Logic Expansion Card. The following typographical conventions are used in this document: bold italic highlights signal names within text highlights important notes, ARM-specific terminology, cross references, and references to other publications Abbreviations The following abbreviations are used in this document: AMBA FPGA PLD PLL Advanced Microcontroller Bus Architecture. This specification defines an on-chip communications standard for designing high performance 32-bit and 16-bit embedded microcontrollers. Field Programmable Gate Array. This is a type of programmable logic device. Programmable Logic Device. A Phase Locked Loop. This usually comprises: a voltage controlled oscillator a programmable divider a phase comparator an integrator. These components allow a programmable clock to be generated. This clock is locked and stabilized by a reference clock input. VHDL Test chip ICE This is a Hardware Description Language suitable for the simulation and synthesis of logic circuits. A test chip is an implementation of the ARM core without peripherals, manufactured primarily to validate the porting of the ARM core to a new process. For further details on test chip documentation refer to Related publications. An In-Circuit Emulator. iv Copyright ARM Limited All rights reserved. ARM DUI 0074A

5 Preface EmbeddedICE This is a debugging system used to provide many functions of an ICE, consisting of: an on-chip macrocell an interface unit software. Related publications Feedback on this document The following ARM documents may be useful: The ARM Target Development System User Guide (ARM DUI 0061) The AMBA Specification (ARM IHI 0001) In addition, you may find it useful to refer to the ARM Datasheet, ARM Test Chip Appendix, and header card documents appropriate to the processor you are using. For details on which documents are available please contact ARM Limited, or refer to ARM s website at If you have any comments or suggestions about this document, please contact your supplier giving: the document title the document number the page number(s) to which your comments refer a concise explanation of your comments. ARM DUI 0074A Copyright ARM Limited All rights reserved. v

6 Preface vi Copyright ARM Limited All rights reserved. ARM DUI 0074A

7 Contents Contents Logic Expansion Card Preface Chapter 1 Chapter 2 Chapter 3 Appendix A Overview 1.1 Introduction System requirements Features of the Logic Expansion Card Connecting the Logic Expansion Card Using the Logic Expansion Card Hardware Description 2.1 Header card connectors Power supply Clock generation FPGA configuration Expansion connector Status LEDs BERROR BLAST Configuring the Logic Expansion Card 3.1 Locating jumpers and links Summary of jumper and link settings Selecting and setting FCLK Schematics A.1 Component layout...a-2 A.2 Top level schematic...a-3 A.3 Amba FPGA schematic and pin layout...a-4 A.4 Coprocessor interface FPGA schematic and pin layout...a-6 A.5 Clock generation schematic...a-8 A.6 Status LEDs schematic...a-9 A.7 Header connectors schematics...a-10 A.8 Expansion connector pin location, schematic and pinout...a-12 ARM DUI 0074A Copyright ARM Limited All rights reserved. vii

8 Contents viii Copyright ARM Limited All rights reserved. ARM DUI 0074A

9 Chapter 1 Overview 1.1 Introduction The ARM Development Board helps you to develop ASICs based on embedded ARM processors, and provides a convenient means of evaluating ARM s family of RISC processors. The Logic Expansion Card is a useful addition to the ARM Development Board. It provides a large amount of programmable, uncommitted logic in the form of two large FPGAs. This allows you to evaluate the proposed logic of an ASIC s design. You can synthesize either AMBA or coprocessor-based peripherals into these FPGAs, allowing you to develop and test device drivers for the peripherals in an ASIC early in your design cycle. The hardware and software described in this document can be used with any ARM test chip that can be fitted to the ARM Development Board. ARM DUI 0074A Copyright ARM Limited All rights reserved. 1-1

10 Overview 1.2 System requirements To use the Logic Expansion Card you will need the following: ARM Development Board (HBI-0011B). An ARM Processor Header Card. At the time of printing the Logic Expansion Card supports the following Processor Header Cards: ARM710T header card (HHI-0033) ARM720T header card (HHI-0031) ARM740T header card (HHI-0038) ARM7TDMI header card (HHI-0016) ARM940T header card (HHI-0032) ARM9TDMI header card (HHI-0045) If you have a Processor Header Card not listed above, please contact ARM Limited to check compatibility. ARM Debugger, such as: Mulit-ICE (recommended) EmbeddedICE Angel A synthesis tool, such as Exemplar. Xilinx tools (M1.4 or greater) are required to place and route the design. 1.3 Features of the Logic Expansion Card The main features of the Logic Expansion Card are: Two FPGAs. These allow peripherals that are based on AMBA or coprocessor interfaces to be synthesized into uncommitted logic. A programmable PLL. This generates the fast processor clock, FCLK, and is programmed via jumpers on the Logic Expansion Card. A 50ohm mini-coax connector. This allows you to input an external clock signal. A general purpose input/output connector. This is an expansion connector which allows you to expand the board, or debug using non-arm tools. The ability to separate core and pad power supplies. This allows you to measure the power requirements of just the ARM processor core. 1-2 Copyright ARM Limited All rights reserved. ARM DUI 0074A

11 Overview 1.4 Connecting the Logic Expansion Card The Logic Expansion Card is fitted between the ARM Development Board and the Processor Header Card (see Figure 1-1 Connecting the Logic Expansion Card). This allows the signals between the ARM Development Board and the Processor Header Card to be intercepted if necessary. When fitted, the Logic Expansion Card protrudes over the edge of the ARM Development Board and Processor Header Card, so that you can access the jumpers without having to remove the header card. Figure 1-1 Connecting the Logic Expansion Card ARM DUI 0074A Copyright ARM Limited All rights reserved. 1-3

12 Overview 1.5 Using the Logic Expansion Card The floppy disc supplied with the Logic Expansion Card contains guidance and an example designed to help you use the Logic Expansion Card. The file Readme.pdf on the disc contains: an example of a simple memory-mapped peripheral for the Logic Expansion Card a procedure on how to use the example guidelines on how to implement your own design a list of associated files contained on the disc. Readme.pdf can be viewed using Adobe Acrobat Reader version 3.0 you can download a copy of this free of charge from the Documentation area on ARM s website ( 1-4 Copyright ARM Limited All rights reserved. ARM DUI 0074A

13 Chapter 2 Hardware Description This chapter describes the following areas of the Logic Expansion Card: Header Card connectors (page 2-2) Power supply (page 2-2) Clock generation (page 2-3) FPGA configuration (page 2-4) General purpose input/output connection (expansion connector) (page2-6) Status LEDs (page 2-7). The circuit diagram, layout diagram and connector diagrams are detailed in AppendixA. ARM DUI 0074A Copyright ARM Limited All rights reserved. 2-1

14 Hardware Description 2.1 Header card connectors 2.2 Power supply The Logic Expansion Card has five 60-way header card connectors (PL1 PL5). Four of the connectors (PL1 PL4) connect to both the ARM Development Board and the Processor Header Card, and the majority of the connections carry signals from the development board straight through to the header card, with a connection to one, or both of the FPGAs. These connectors also carry additional signals from the Logic Expansion Card to the Processor Header Card. These additional signals are: coprocessor signals instruction buses miscellaneous signals. The fifth connector (PL5) connects to the Processor Header Card only, and carries coprocessor signals that are required by certain ARM devices (for example, ARM940T has additional coprocessor signals that are not available on the other connectors (PL1 PL4)). The Logic Expansion Card takes its power from the 3.3V supply on the ARM Development Board. All the supplies are decoupled, and low ESR capacitors are used throughout. The ARM Development Board provides protection from 5V signals, which means the Logic Expansion Card supports ARM processors operating over the range 2.7V 5V. The Logic Expansion Card allows you to measure the core power consumption as the power supply (Vdd) is split into: Core power supply (Vddm) Pad power supply (Vdd). If you want to vary the power supply to the core in order to make current measurements, you must remove the link JP1 and connect an external power supply. Alternatively, you can replace JP1 with a low value resistor (for example a 1ohm resistor) and measure the voltage across it. 2-2 Copyright ARM Limited All rights reserved. ARM DUI 0074A

15 Hardware Description 2.3 Clock generation BCLK The Logic Expansion Card uses two clocks: BCLK FCLK. BCLK is the AMBA bus clock, and is generated on the ARM Development Board. This clock can be set to one of the following frequencies: 4MHz 8MHz 16MHz 20MHz. For details on how to set the frequency of BCLK refer to the ARM Target Development System User Guide (ARM DUI 0061) FCLK FCLK is used to clock the two FPGAs on the Logic Expansion Card. You have the option of using three different sources for FCLK: Generated on the Processor Header Card Input from an external source Generated on the Logic Expansion Card. For details on how to select which source to use refer to Table 3-2 Selecting and setting FCLK on page 3-4. FCLK generated on the Processor Header Card If you are using FCLK generated on the Processor Header Card refer to the User Guide supplied with that card for details on the frequencies available, and how to set them. FCLK input from an external source If you are using an external FCLK, you need to connect it to the 50ohm mini-coax connector SK5. ARM DUI 0074A Copyright ARM Limited All rights reserved. 2-3

16 Hardware Description 2.4 FPGA configuration FCLK generated on the Logic Expansion Card A PLL (AV or W48C55-62) is provided on the Logic Expansion Card which generates a local FCLK. This clock is used to drive the two FPGAs, and can be used to drive FCLK on the Processor Header Card. The reference clock to the on-board PLL is supplied by a crystal which has a frequency of MHz. The on-board PLL has three programmable inputs, and can output one of 12 preset frequencies in the range 4 100MHz. In addition to these 12 preset frequencies you can set the output of the PLL to be the same value as the AMBA bus clock generated by the ARM Development Board. See BCLK on page 2-3. If you set FCLK to equal BCLK then any changes to the BCLK value will result in a change to the FCLK value. For details on the frequencies available and how to set them refer to Table3-2 Selecting and setting FCLK on page 3-4. The Logic Expansion Card has two FPGAs: U9, for connection to the AMBA bus U10, for connection to the coprocessor interface. Both of these are 240-pin PQFP XILINX (3.3V) FPGAs (XC4062XL), which provides enough input/output pins and resources to enable you to prototype most peripherals. A 72-bit bus is provided as a communication channel between the two FPGAs, and you can use this bus to drive the eight general-purpose LEDs. (See 2.6 Status LEDs on page 2-7.) You can load the content of the FPGAs from either: separate serial PROMs via an XChecker cable. Full details are given in the following sections, and and Figure 2-1 shows the position of the PROMs, connectors and link positions associated with configuring the FPGAs. 2-4 Copyright ARM Limited All rights reserved. ARM DUI 0074A

17 Hardware Description Figure 2-1 FPGA PROMs, connectors and link positions Loading from PROM To enable you to load the content of the FPGAs from PROM, the Logic Expansion Card has two pairs of PROM sockets one pair for the AMBA FPGA (U1 and U3) and one for the coprocessor interface FPGA (U5 and U6). Each pair is serially connected, which allows them to be cascaded. Each pair may comprise: a single 1MB PROM (XC1701L) a single 1MB PROM (XC1701L), cascaded with a single 512KB PROM (XC17512L) two 1MB PROMs (XC1701L) cascaded together. To set the Logic Expansion Card to load the AMBA FPGA from PROM you must fit jumper J4. To set the Logic Expansion Card to load the coprocessor interface FPGA from PROM you must fit jumper J6. ARM DUI 0074A Copyright ARM Limited All rights reserved. 2-5

18 Hardware Description Loading via an XChecker cable To enable you to load the content of the FPGAs via an XChecker cable the Logic Expansion Card has two 9-pin connectors one for the AMBA FPGA (J1) and one for the coprocessor interface FPGA (J2). To allow the bit files to be downloaded to the PROMs you have to run the Xilinx Hardware Debugger portion of the Xilinx M1 software. Caution Before using the XChecker cable you must remove the appropriate PROMs (either U1 and U3, or U5 and U6). You must use the 3V adaptor board supplied with the XChecker cable to provide 5V to the XChecker cable. 2.5 Expansion connector To set the Logic Expansion Card to load the AMBA FPGA via an XChecker cable you must remove jumper J4. To set the Logic Expansion Card to load the coprocessor interface FPGA via an XChecker cable you must remove jumper J6. The Logic Expansion Card has a 96-pin expansion connector (J3). This connector provides access to the signal bus which is shared by both the AMBA FPGA and the coprocessor interface FPGA. The internal signals in the FPGA can be routed directly to the expansion connector allowing you to: monitor the signals (logic analyzer probes can easily be attached) use it as a platform to prototype small peripherals develop expansion boards. The signals are divided into the following three buses: 32-bit address bus FA[31:0] 32-bit data bus FD[31:0] 8-bit control bus FC[7:0] The expansion connector also supplies 3.3V power and ground. For details of the pinout refer to Table A-1 Expansion connector Pinout on page A Copyright ARM Limited All rights reserved. ARM DUI 0074A

19 Hardware Description 2.6 Status LEDs 2.7 BERROR 2.8 BLAST The Logic Expansion Card has ten surface mount status LEDs (D1 D10): D1 D8. These yellow LEDs, when enabled, indicate the status of the FPGAs. You can configure these yellow LEDs (via the link LK3) to be: disabled link fitted between A and C permanently enabled link fitted between B andc enabled, where they are buffered under AMBA FPGA control (nleden on pin 233 of the AMBA FPGA) link removed D9 D10. These green LEDs indicate if the FPGAs have loaded their configuration data correctly (D9 for the AMBA FPGA and D10 for the coprocessor interface FPGA). The LEDs are buffered by U8 and light with a logic 0 on the control bus FC[7:0]. If the processor fitted in the Processor Header Card attempts to access a memory map address above 256MB, the ARM Development Board generates a BERROR signal which is passed back to the header card causing the processor to perform a data abort. If you require access to memory map addresses above 256MB you must develop logic for the AMBA FPGA. This logic must allow BERROR to be intercepted and generate a ERROROUT signal only if the higher address value is exceeded. ERROROUT received by the header card will cause the processor to perform a data abort. To enable the interception of BERROR you must remove the link J10. The ARM Development Board generates a BLAST signal to indicate a non-sequential cycle. If the header card receives a combination of BLAST and BERROR signals it causes a retract bus operation. The Logic Expansion Card allows you to configure the card such that BLAST is intercepted by the AMBA FPGA. To enable the interception of BLAST you must remove the link J11. Note It is recommended that you fit the J11, and disable the interception of BLAST. ARM DUI 0074A Copyright ARM Limited All rights reserved. 2-7

20 Hardware Description 2-8 Copyright ARM Limited All rights reserved. ARM DUI 0074A

21 Chapter 3 Configuring the Logic Expansion Card The Logic Expansion Card is configurable via: a wire fitted link (JP1) eleven jumpers (J1, J2, J4 J12) two sets of links (LK1 and LK2) one surface mount link (LK3). Section 3.1 shows the location of the jumpers and links, section 3.2 gives a brief description for each jumper and link, and section 3.3 gives details of how to select and set FCLK using LK1 and LK2. ARM DUI 0074A Copyright ARM Limited All rights reserved. 3-1

22 Configuring the Logic Expansion Card 3.1 Locating jumpers and links Figure 3-1 Location of jumpers and links 3-2 Copyright ARM Limited All rights reserved. ARM DUI 0074A

23 Configuring the Logic Expansion Card 3.2 Summary of jumper and link settings Table 3-1 Jumper and link settings Jumper/link JP1 J1 J2 J4 Description If removed, the core current can be measured. If loading the AMBA FPGA via an XChecker cable, connect the cable to this jumper. If loading the coprocessor interface FPGA via an XChecker cable, connect the cable to this jumper. If fitted, the AMBA FGPA is loaded from PROM. If removed, the AMBA FGPA is loaded via an XChecker cable. J5 Not used. * J6 If fitted the coprocessor interface FGPA is loaded from PROM. If removed the coprocessor interface FGPA is loaded via an XChecker cable. J7 Not used. * J8 Not used. * J9 Not used. * J10 J11 If fitted, the AMBA FPGA does not implement the interception of BERROR. If removed, the AMBA FPGA intercepts BERROR from the ARM Development Board. (For details refer to 2.7 BERROR on page 2-7) If fitted, the AMBA FPGA does not implement the interception of BLAST. If removed, the AMBA FPGA intercepts BLAST from the ARM Development Board. (For details refer to 2.8 BLAST on page 2-7) J12 Not used. * LK1 and LK2 LK3 Used to select the source and frequency of FCLK. For details refer to Table 3-2 Selecting and setting FCLK on page 3-4 Used to control the output buffer used to drive the eight status LEDs (D1 D8). If fitted between A and C, the LEDs are disabled. If fitted between B and C, the LEDs are enabled. If removed, the LEDs are buffered under FGPA control (nleden on pin 233 of the AMBA FPGA). This is the factory default. * The jumpers J5, J7 J9, and J12 may be used to provide access to the spare FGPA inputs/outputs. You may use these as extra monitoring points, or allow the FPGA to read them to provide configuration options. ARM DUI 0074A Copyright ARM Limited All rights reserved. 3-3

24 Configuring the Logic Expansion Card 3.3 Selecting and setting FCLK Table 3-2 Selecting and setting FCLK Source FCLK LK1 LK2 Freq. (MHz) FREQ LINK0 FREQ LINK1 FREQ LINK2 Do not fit MCLK CLK 2XCLK EXTCLK Processor Header Card - OUT OUT OUT - OUT OUT OUT OUT External - OUT/IN OUT/IN OUT/IN - OUT OUT OUT IN ARM Development Board = BCLK OUT/IN OUT/IN OUT/IN - IN OUT OUT OUT On-board PLL 4 OUT OUT OUT - OUT IN OUT OUT 8 OUT OUT OUT - OUT OUT IN OUT 16 IN OUT OUT - OUT OUT IN OUT 20 IN IN OUT - OUT IN OUT OUT 25 OUT OUT IN - OUT IN OUT OUT 32 OUT IN OUT - OUT OUT IN OUT 33 IN OUT IN - OUT IN OUT OUT 40 IN IN OUT - OUT OUT IN OUT 50 OUT OUT IN - OUT OUT IN OUT 66 IN OUT IN - OUT OUT IN OUT 80 OUT IN IN - OUT OUT IN OUT 100 IN IN IN - OUT OUT IN OUT 3-4 Copyright ARM Limited All rights reserved. ARM DUI 0074A

25 Appendix A Schematics This Chapter contains the following illustrations and tables: Figure A-1 Component layout on page A-2. Figure A-2 Top level schematic on page A-3. Figure A-3 AMBA FPGA Schematic on page A-4. Figure A-4 AMBA FPGA Pin layout on page A-5. Figure A-5 Coprocessor interface FPGA Schematic on page A-6. Figure A-6 Coprocessor interface FPGA Pin layout on page A-7. Figure A-7 Clock generation Schematic on page A-8. Figure A-8 Status LEDs Schematic on page A-9. Figure A-9 Lower header connectors Schematic on page A-10. Figure A-10 Upper header connectors Schematic on page A-11. Figure A-11 Expansion connector Pin location on page A-12. Figure A-12 Expansion connector Pinout schematic on page A-12. Table A-1 Expansion connector Pinout on page A-13. ARM DUI 0074A Copyright ARM Limited All rights reserved. A-1

26 Schematics A.1 Component layout Figure A-1 Component layout A-2 Copyright ARM Limited All rights reserved. ARM DUI 0074A

27 Schematics A.2 Top level schematic LK LINK-4 FREQLINK2 FREQLINK1 FREQLINK0 PDLINK nleden EXPANSION AND STATUS LEDS nleden C22 100n GND FREQLINK0 14 U11B HC05 U11C FREQSEL0 R40 10K R43 10K ERROR 1 J10 2 HEADER 2 ERROROUT Note : Insert link only when FPGAs are not programmed or design does not use BERROR FREQLINK1 5 6 FREQSEL1 R1 10K R2 10K R3 10K R4 10K FA[31..0] FD[31..0] FC[7..0] FA[31..0] FD[31..0] FC[7..0] FREQLINK2 PDLINK 74HC05 U11D HC05 U11E FREQSEL2 PD R41 10K R42 10K CLOCKGEN MCLK MCLK FCLK FCLK FREQSEL[2..0] FREQSEL[2..0] PD PD Figs. A8-A12 74HC05 Fig. A7 AMBA TRICKBOX LOWERHEADERS UPPERHEADERS COPROTRICKBOX A[31..0] A[31..0] A[31..0] A[31..0] A[31..0] PD PD D[31..0] PROT[1..0] D[31..0] PROT[1..0] D[31..0] PROT[1..0] D[31..0] PROT[1..0] D[31..0] PROT[1..0] FREQSEL[2..0] FREQSEL[2..0] RES[2..0] RES[2..0] RES[2..0] RES[2..0] RES[2..0] RES0 RES0 TRAN[1..0] TRAN[1..0] TRAN[1..0] TRAN[1..0] TRAN[1..0] SIZE[1..0] SIZE[1..0] SIZE[1..0] SIZE[1..0] SIZE[1..0] ERROR ERROR ERROR ERROROUT ERROROUT WAIT WAIT WAIT WAIT WAIT WRITE WRITE WRITE WRITE WRITE LOCK LOCK LOCK LOCK LOCK DBGACK DBGACK DBGACK LAST LAST LAST LASTOUT LAST EDBGRQ EDBGRQ EDBGRQ MCLK MCLK MCLK MCLK MCLK GNTARM GNTARM GNTARM GNTARM GNTARM REQARM REQARM REQARM REQARM REQARM SPAREIO[3..0] SPAREIO[3..0] REQ001 GNT001 CPA CPB ncpi nopc FASTBUS BIGEND SnA ISYNC DSEL LASTOUT REQ001 GNT001 CPA CPB ncpi nopc FASTBUS BIGEND SnA ISYNC DSEL LASTOUT REQ001 GNT001 BIGEND ISYNC CPA CPB ncpi CPA CPB ncpi REQ001 GNT001 FC[7..0] FASTBUS BIGEND SnA ISYNC DSEL REQ001 PASS GNT001 LATECANCEL CHSD[1..0] FC[7..0] CHSE[1..0] CPA FASTBUS CPB BIGEND ncpi SnA ncpwait ISYNC nopc DSEL CPDIN[31..0] CPDOUT[31..0] ID[27..0] PASS LATECANCEL CHSD[1..0] CHSE[1..0] CPA CPB ncpi ncpwait nopc CPDIN[31..0] CPDOUT[31..0] ID[27..0] PASS LATECANCEL CHSD[1..0] CHSE[1..0] CPA CPB ncpi ncpwait nopc BIGEND CPDIN[31..0] CPDOUT[31..0] ID[27..0] MCLK BIGEND MCLK ERROROUT ERROROUT nfiq nfiq nfiq nfiq nfiq CPCLK CPCLK CPCLK nfiq nfiq nirq nirq nirq nirq nirq CPINMREQ CPINMREQ CPINMREQ nirq nirq FCLK FCLK FCLK FCLK CPTBIT CPTBIT CPTBIT ECLK ECLK ECLK ECLK ECLK ECLK ncptrans ncptrans ncptrans FCLK FCLK DEWPT IEBKPT DEWPT IEBKPT DEWPT IEBKPT DEWPT IEBKPT SPAREIO[3..0] SPAREIO[3..0] FA[31..0] FD[31..0] FA[31..0] FD[31..0] nicerst nicerst nicerst FC[7..0] FC[7..0] FA[31..0] FA[31..0] nleden nleden ntrst ntrst ntrst FD[31..0] FD[31..0] EXTERN[1..0] EXTERN[1..0] EXTERN[1..0] FC[7..0] FC[7..0] EXTERN[1..0] EXTERN[1..0] COMMTX COMMTX COMMTX COMMRX COMMRX COMMRX Fig. A3-A4 Fig. A9 Fig. A10 Fig. A5-A6 Figure A-2 Top level schematic ARM DUI 0074A Copyright ARM Limited All rights reserved. A-3

28 Schematics A.3 Amba FPGA schematic and pin layout DIN CCLK nprog DONE DONE DOWNLOAD CABLE CONNECTOR J1 U1 DATA CLK OE CE CON9 Cut pin 3 CCLK DIN LASTOUT LAST DSEL VCC VPP CEO GND XC17256L-PD8C U11A J4 SPAREIO6 SPAREIO7 SPAREIO9 SPAREIO HC05 CON2 J CON2 J8 1 2 DIN CCLK nprog nceo ndone CON2 J5 1 2 CON2 J7 1 2 CON2 R5 4K DO NOT FIT AMBA FPGA OK 3 R8 4K7 U3 R6 4K7 REQ001 GNT001 CPA CPB ncpi nopc DEWPT EXTERN[1..0] IEBKPT DATA CLK OE CE XC17256L-PD8C D9 LED R10 2 VCC VPP CEO GND GREEN R7 4K7 R9 DONE nprog INIT 0R 0R REQ001 GNT001 MODE0 MODE1 MODE2 CPA CPB ncpi nopc R38 220R DEWPT EXTERN[1..0] IEBKPT AMBA Signals A[31..0] ERROR WAIT WRITE LOCK LAST LASTOUT MCLK GNTARM REQARM ERROROUT DSEL Misc. Signals nfiq nirq BIGEND FCLK ECLK SnA D[31..0] PROT[1..0] RES[2..0] TRAN[1..0] SIZE[1..0] ISYNC FASTBUS SPAREIO[3..0] FA[31..0] FD[31..0] FC[7..0] nleden Clock gen control signals FREQSEL[2..0] PD SPAREIO12 SPAREIO13 J A[31..0] D[31..0] PROT[1..0] RES[2..0] TRAN[1..0] SIZE[1..0] ERROR WAIT WRITE LOCK LAST LASTOUT MCLK GNTARM REQARM ERROROUT DSEL CON2 nfiq nirq BIGEND FCLK ECLK SnA ISYNC FASTBUS SPAREIO[3..0] FA[31..0] FD[31..0] FC[7..0] nleden FREQSEL[2..0] PD C1 10u C2 100n C3 100n C4 100n C5 100n GND Figure A-3 AMBA FPGA Schematic A-4 Copyright ARM Limited All rights reserved. ARM DUI 0074A

29 Schematics ARM DUI 0074A Copyright ARM Limited All rights reserved. A-5 Figure A-4 AMBA FPGA Pin layout DO NOT FIT DONE FA22 SPAREIO9 ERROROUT FA1 D27 FA14 nfiq RES2 FD6 DEWPT SIZE1 ERROR MODE2 A26 FD28 D7 D1 D31 SPAREIO8 D2 A30 SPAREIO0 FD4 D4 FA12 A11 D23 ncpi SnA PROT1 FA18 D9 FA0 D20 D29 D25 FD27 ECLK D13 A8 FC7 FREQSEL1 FA24 FA25 FD1 FREQSEL0 A1 FD24 A17 FD13 ISYNC FA5 D30 A24 FA26 D28 FA9 FA10 FA15 D11 EXTERN0 FD9 FD10 A0 FD22 nopc CPB FA3 FA28 FA21 D24 TRAN1 FA19 A7 FA13 FC1 FD15 FA30 D14 EXTERN1 FA11 CCLK A29 A5 SPAREIO12 MODE0 D5 GNT001 FD23 RES0 REQARM SPAREIO1 REQ001 FC2 SPAREIO2 FD7 D6 FA27 GNTARM FD19 FA6 FD18 D15 A28 SPAREIO3 A13 A14 A3 A4 A2 TRAN0 nprog A27 A12 D12 A15 FD17 FA23 D17 D8 FA8 SPAREIO13 A22 FA16 FD8 FD29 WRITE SPAREIO6 FD31 D16 FD2 D26 MODE1 WAIT D22 PD FC5 IEBKPT FC3 FD16 FC6 A9 FD14 A23 RES1 A19 A16 A10 LOCK LASTOUT SIZE0 D19 D3 CPA FD11 A6 FC4 A20 INIT FD26 MCLK FA20 BIGEND nirq FA29 D0 FD5 SPAREIO11 FA2 DSEL FA7 FD3 A18 FD21 nleden FA17 DIN D21 FA31 D10 FD25 FASTBUS FC0 A31 FD12 FD30 LAST FD0 FREQSEL2 D18 FD20 A25 FCLK A21 FA4 SPAREIO7 PROT0 R33 33R R34 220R U9 XC4013LPQ240-1PC M2 62 GND 1 P63 63 P HDC 64 A16 2 P65 65 P P66 66 A17 3 P67 67 A LDC 68 P4 4 P69 69 A P70 70 P5 5 P71 71 VCC 240 VCC 61 P72 72 P6 6 P73 73 D2 159 P74 74 P7 7 GND 75 P P76 76 P8 8 P77 77 VCC 161 P78 78 P9 9 P79 79 P VCC 80 P10 10 P81 81 D4 148 P11 11 P82 82 TDO 181 NC 83 P12 12 P84 84 GND 182 P85 85 P13 13 P86 86 A0/WS 183 P87 87 GND 14 P88 88 A1 184 INIT 89 P15 15 VCC 90 P GND 91 P16 16 P92 92 P P93 93 P17 17 P94 94 A2/CS1 187 P95 95 P18 18 P96 96 A3 188 P97 97 VCC 19 NC 98 P P99 99 P20 20 P NC 195 VCC 101 P21 21 P GND 196 P P23 23 P P P P24 24 GND 106 VCC 201 P P25 25 P A4 202 P P26 26 P A5 203 P P27 27 P NC 204 P P28 28 P P P GND 29 P P P VCC 30 P A6 209 GND 119 P31 31 DONE 120 A7 210 P32 32 GND 211 P33 33 VCC 212 P34 34 A8 213 P35 35 A9 214 P36 36 P P38 38 NC 219 P39 39 A VCC 40 A P41 41 VCC 222 P42 42 P P43 43 GND 227 P44 44 P GND 45 P P46 46 A P47 47 A P48 48 P P49 49 VCC 150 P50 50 GND 166 P51 51 P P52 52 P P53 53 P P54 54 P P55 55 P P56 56 P P57 57 D1 173 M1 58 RCLK 174 GND 59 P M0 60 P P D0/DIN 177 DOUT 178 CCLK 179 VCC 180 P P P P P GND 151 D3 152 RS 153 P VCC 121 PROG 122 D7 123 P P P P P P P D6 129 P P P P P P P P P P P P P P P P P P D5 141 CSO 142 NC 143 P P P P P NC 158 P P P P GND 135 P P P P VCC 140 NC 22 NC 37 XC4013XLPQ240-1PC Or XC4067XLPQ240-3PC XC4013XLPQ240-1PC Or XC4062XLPQ240-3PC

30 Schematics A.4 Coprocessor interface FPGA schematic and pin layout Figure A-5 Coprocessor interface FPGA Schematic A-6 Copyright ARM Limited All rights reserved. ARM DUI 0074A

31 Schematics XC4013XLPQ240-1PC Or XC4062XLPQ240-3PC Figure A-6 Coprocessor interface FPGA Pin layout ARM DUI 0074A Copyright ARM Limited All rights reserved. A-7

32 Schematics A.5 Clock generation schematic Figure A-7 Clock generation Schematic A-8 Copyright ARM Limited All rights reserved. ARM DUI 0074A

33 Schematics A.6 Status LEDs schematic Figure A-8 Status LEDs Schematic ARM DUI 0074A Copyright ARM Limited All rights reserved. A-9

34 Schematics A.7 Header connectors schematics Figure A-9 Lower header connectors Schematic A-10 Copyright ARM Limited All rights reserved. ARM DUI 0074A

35 Schematics PL1 1 A0 A1 3 2 PL4 1 A2 COMMTX FC A3 ID1 A A5 ID A6 TRAN0 A A8 ID5 FC A9 ID7 A A A12 ID8 A A14 ID10 FC A15 ncpi A A17 CPA A18 A A20 ERROROUT A21 MCLK A A23 ISYNC A24 nirq A A A27 ID12 A A29 ID A30 ID16 A WRITE ID18 DSEL SIZE0 ID20 SIZE PROT1 ID LOCK ID23 PROT ID SnA ID26 FCLK FASTBUS ncptrans M REQARM GNTARM REQ001 GNT001 ntrst TDO TMS TDI CPDOUT8 CPDOUT10 EXTERN1 CPDOUT12 CPDOUT14 CPDOUT17 CPDOUT19 CPDOUT21 CPDOUT23 CPDOUT26 CPDOUT28 CPDOUT30 M CON60AP PL2 1 SPAREIO0 3 2 SPAREIO2 5 4 DEWPT D FC D D FC D D FC D D FC D D FC D D CPCLK M CON60AP PL CON60AP SPAREIO1 SPAREIO3 IEBKPT D0 D2 D3 D5 D6 D8 D9 D11 D12 D14 D15 D17 D18 D20 D21 D23 D24 D26 D27 D29 D30 ncpwait nopc EDBGRQ DBGACK CPDOUT0 CPDOUT1 CPDOUT2 CPDOUT3 CPDOUT4 CPDOUT5 CPDOUT6 CPDOUT7 TCK CPDOUT9 CPDOUT11 EXTERN0 CPDOUT13 CPDOUT15 CPDOUT16 CPDOUT18 CPDOUT20 CPDOUT22 CPDOUT24 CPDOUT25 CPDOUT27 CPDOUT29 M CPDOUT31 ECLK CPDIN1 CPDIN3 CPDIN5 CPDIN7 CPDIN9 CPDIN11 CPDIN13 CPDIN15 CPDIN17 CPDIN19 CPDIN21 CPDIN23 CPDIN25 CPDIN27 CPDIN29 CPDIN31 CHSD0 CHSE0 PASS CON60AP PL C18 10u CON60AP COMMRX ID0 ID2 ID4 TRAN1 ID6 WAIT LAST ID9 ID11 CPB RES0 RES1 RES2 nfiq BIGEND nicerst ID13 ID15 ID17 VCC ID19 ID22 ID25 ID27 CPTBIT CPDIN0 CPDIN2 CPDIN4 CPDIN6 CPDIN8 CPDIN10 CPDIN12 CPDIN14 CPDIN16 CPDIN18 CPDIN20 CPDIN22 CPDIN24 CPDIN26 CPDIN28 CPDIN30 LATECANCEL CHSD1 CHSE1 CPINMREQ 10k DO NOT FIT 1 TESTPIN 1 VCC R30 DO NOT FIT 10k R31 JP1 JUMPER nfiq nirq CPDOUT[31..0] CPDIN[31..0] ID[27..0] PASS LATECANCEL CHSD[1..0] CHSE[1..0] nopc ncpwait CPCLK CPTBIT ncptrans A[31..0] WRITE SIZE[1..0] PROT[1..0] LOCK D[31..0] ncpi CPA CPB RES[2..0] ERROROUT MCLK ISYNC BIGEND nicerst REQARM GNTARM ntrst EXTERN[1..0] M C19 100n COMMRX COMMTX nfiq nirq M1 TESTPIN LINK TO ENABLE CURRENT MEASUREMENTS OF THE CORE 1 CPDOUT[31..0] CPDIN[31..0] ID[27..0] PASS LATECANCEL CHSD[1..0] CHSE[1..0] nopc ncpwait CPCLK CPTBIT ncptrans A[31..0] WRITE SIZE[1..0] PROT[1..0] LOCK D[31..0] ncpi CPA CPB RES[2..0] ERROROUT MCLK ISYNC BIGEND nicerst REQARM GNTARM ntrst EXTERN[1..0] COMMRX COMMTX GND1 TESTPIN 1 FC[7..0] DSEL FCLK SPAREIO[3..0] TRAN[1..0] WAIT LAST FC[7..0] Figure A-10 Upper header connectors Schematic SnA FASTBUS ECLK CPINMREQ EDBGRQ DEWPT IEBKPT DBGACK REQ001 GNT001 GND2 TESTPIN 1 DSEL FCLK SnA FASTBUS ECLK SPAREIO[3..0] CPINMREQ EDBGRQ DEWPT IEBKPT DBGACK TRAN[1..0] WAIT LAST REQ001 GNT001 ARM DUI 0074A Copyright ARM Limited All rights reserved. A-11

36 Schematics A.8 Expansion connector pin location, schematic and pinout Figure A-11 Expansion connector Pin location Figure A-12 Expansion connector Pinout schematic A-12 Copyright ARM Limited All rights reserved. ARM DUI 0074A

37 Schematics Table A-1 Expansion connector Pinout Row A Row B Row C FD0 FC0 FA31 FD1 FC1 FA30 FD2 FC2 FA29 FD3 FC3 FA28 FD4 FC4 FA27 FD5 FC5 FA26 FD6 FC6 FA25 FD7 FC7 FA24 FD8 FA23 FD9 FA22 FD10 FA21 FD11 FA20 FD12 FA19 FD13 FA18 FD14 FA17 FD15 FA16 FD16 FA15 FD17 FA14 FD18 FA13 FD19 FA12 FD20 FA11 FD21 FA10 FD22 FA9 FD23 FA8 FD24 FA7 FD25 FA6 FD26 FA5 FD27 FA4 FD28 FA3 FD29 FA2 FD30 FA1 FD31 FA0 ARM DUI 0074A Copyright ARM Limited All rights reserved. A-13

38 Schematics A-14 Copyright ARM Limited All rights reserved. ARM DUI 0074A

Introducing AVR Dragon

Introducing AVR Dragon Introducing AVR Dragon ' Front Side Back Side With the AVR Dragon, Atmel has set a new standard for low cost development tools. AVR Dragon supports all programming modes for the Atmel AVR device family.

More information

M68EVB908QL4 Development Board for Motorola MC68HC908QL4

M68EVB908QL4 Development Board for Motorola MC68HC908QL4 M68EVB908QL4 Development Board for Motorola MC68HC908QL4! Axiom Manufacturing 2813 Industrial Lane Garland, TX 75041 Email: Sales@axman.com Web: http://www.axman.com! CONTENTS CAUTIONARY NOTES...3 TERMINOLOGY...3

More information

EMUL ARM. ARM Connections. 2003 Nohau Corporation. All rights reserved worldwide.

EMUL ARM. ARM Connections. 2003 Nohau Corporation. All rights reserved worldwide. EMUL ARM ARM Connections 2003 Nohau Corporation. All rights reserved worldwide. Nohau By ICE Technology EMUL-ARM: ARM Connections 2 (8) Contents 1 INTRODUCTION... 3 2 CONNECTIONS... 4 20-Pin JTAG Connection

More information

Switch board datasheet EB007-00-1

Switch board datasheet EB007-00-1 Switch board datasheet EB007-00-1 Contents 1. About this document... 2 2. General information... 3 3. Board layout... 4 4. Testing this product... 5 5. Circuit description... 6 Appendix 1 Circuit diagram

More information

USBSPYDER08 Discovery Kit for Freescale MC9RS08KA, MC9S08QD and MC9S08QG Microcontrollers User s Manual

USBSPYDER08 Discovery Kit for Freescale MC9RS08KA, MC9S08QD and MC9S08QG Microcontrollers User s Manual USBSPYDER08 Discovery Kit for Freescale MC9RS08KA, MC9S08QD and MC9S08QG Microcontrollers User s Manual Copyright 2007 SofTec Microsystems DC01197 We want your feedback! SofTec Microsystems is always on

More information

Figure 1 FPGA Growth and Usage Trends

Figure 1 FPGA Growth and Usage Trends White Paper Avoiding PCB Design Mistakes in FPGA-Based Systems System design using FPGAs is significantly different from the regular ASIC and processor based system design. In this white paper, we will

More information

How To Program A Microcontroller Board (Eb064) With A Psp Microcontroller (B064-74) With An Ios 2.5V (Power) And A Ppt (Power Control) (Power Supply) (

How To Program A Microcontroller Board (Eb064) With A Psp Microcontroller (B064-74) With An Ios 2.5V (Power) And A Ppt (Power Control) (Power Supply) ( dspic / PIC24 Multiprogrammer datasheet EB064-00 00-1 Contents 1. About this document... 2 2. General information... 3 3. Board layout... 4 4. Testing this product... 5 5. Circuit description... 6 Appendix

More information

Data Sheet. Adaptive Design ltd. Arduino Dual L6470 Stepper Motor Shield V1.0. 20 th November 2012. L6470 Stepper Motor Shield

Data Sheet. Adaptive Design ltd. Arduino Dual L6470 Stepper Motor Shield V1.0. 20 th November 2012. L6470 Stepper Motor Shield Arduino Dual L6470 Stepper Motor Shield Data Sheet Adaptive Design ltd V1.0 20 th November 2012 Adaptive Design ltd. Page 1 General Description The Arduino stepper motor shield is based on L6470 microstepping

More information

Thermostat Application Module Kit

Thermostat Application Module Kit Thermostat Application Module Kit PUG0040-00 Product User Guide Kit Contents Overview Thermostat Application Module CD-ROM: Software Examples and Documentation The Thermostat Application Module provides

More information

Advanced Data Capture and Control Systems

Advanced Data Capture and Control Systems Advanced Data Capture and Control Systems Tronisoft Limited Email: sales@tronisoft.com Web: www.tronisoft.com RS232 To 3.3V TTL User Guide RS232 to 3.3V TTL Signal Converter Modules P/N: 9651 Document

More information

USB - FPGA MODULE (PRELIMINARY)

USB - FPGA MODULE (PRELIMINARY) DLP-HS-FPGA LEAD-FREE USB - FPGA MODULE (PRELIMINARY) APPLICATIONS: - Rapid Prototyping - Educational Tool - Industrial / Process Control - Data Acquisition / Processing - Embedded Processor FEATURES:

More information

Designing VM2 Application Boards

Designing VM2 Application Boards Designing VM2 Application Boards This document lists some things to consider when designing a custom application board for the VM2 embedded controller. It is intended to complement the VM2 Datasheet. A

More information

SPI Flash Programming and Hardware Interfacing Using ispvm System

SPI Flash Programming and Hardware Interfacing Using ispvm System March 2005 Introduction Technical Note TN1081 SRAM-based FPGA devices are volatile and require reconfiguration after power cycles. This requires external configuration data to be held in a non-volatile

More information

8-Bit Microcontroller with Flash. Application Note. Using a Personal Computer to Program the AT89C51/C52/LV51/LV52/C1051/C2051

8-Bit Microcontroller with Flash. Application Note. Using a Personal Computer to Program the AT89C51/C52/LV51/LV52/C1051/C2051 Using a Personal Computer to Program the ATC/C/LV/LV/C0/C0 Introduction This application note describes a personal computer-based programmer for the ATC/C/LV/LV/C0/C0 Flash-based s. The programmer supports

More information

Intel StrongARM SA-110 Microprocessor

Intel StrongARM SA-110 Microprocessor Intel StrongARM SA-110 Microprocessor Product Features Brief Datasheet The Intel StrongARM SA-110 Microprocessor (SA-110), the first member of the StrongARM family of high-performance, low-power microprocessors,

More information

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram Quad PLL with VCXO Quick Turn Clock Description The ICS379 QTClock TM generates up to 9 high quality, high frequency clock outputs including a reference from a low frequency pullable crystal. It is designed

More information

PICNet 1. PICNet 1 PIC18 Network & SD/MMC Development Board. Features. Applications. Description

PICNet 1. PICNet 1 PIC18 Network & SD/MMC Development Board. Features. Applications. Description Features PICNet 1 PIC18 Network & SD/MMC Development Board IC Sockets for 28 or 40-pin Microchip PIC18F Microcontrollers IC Socket for 8-pin serial EEPROM Multiple MCU Oscillator sources Full 10BaseT IEEE

More information

Fondamenti su strumenti di sviluppo per microcontrollori PIC

Fondamenti su strumenti di sviluppo per microcontrollori PIC Fondamenti su strumenti di sviluppo per microcontrollori PIC MPSIM ICE 2000 ICD 2 REAL ICE PICSTART Ad uso interno del corso Elettronica e Telecomunicazioni 1 2 MPLAB SIM /1 MPLAB SIM is a discrete-event

More information

RMHost Unix Installation Notes

RMHost Unix Installation Notes RMHost Unix Installation Notes Copyright 2000 Proprietary Notice Contents Words and logos marked with or are registered trademarks or trademarks owned by ARM Limited, except as otherwise stated below in

More information

Table of Contents. ARM Evaluation Board (AEB-1) Welcome Guide ARM DGI 0005D. Proprietary Notice

Table of Contents. ARM Evaluation Board (AEB-1) Welcome Guide ARM DGI 0005D. Proprietary Notice Proprietary Notice ARM and the ARM Powered logo are registered trademarks of ARM Limited. Angel, EmbeddedICE, and Multi-ICE are unregistered trademarks of ARM Limited. All other products or services mentioned

More information

DKWF121 WF121-A 802.11 B/G/N MODULE EVALUATION BOARD

DKWF121 WF121-A 802.11 B/G/N MODULE EVALUATION BOARD DKWF121 WF121-A 802.11 B/G/N MODULE EVALUATION BOARD PRELIMINARY DATA SHEET Wednesday, 16 May 2012 Version 0.5 Copyright 2000-2012 Bluegiga Technologies All rights reserved. Bluegiga Technologies assumes

More information

Fairchild Solutions for 133MHz Buffered Memory Modules

Fairchild Solutions for 133MHz Buffered Memory Modules AN-5009 Fairchild Semiconductor Application Note April 1999 Revised December 2000 Fairchild Solutions for 133MHz Buffered Memory Modules Fairchild Semiconductor provides several products that are compatible

More information

JTAG-HS2 Programming Cable for Xilinx FPGAs. Overview. Revised January 22, 2015 This manual applies to the HTAG-HS2 rev. A

JTAG-HS2 Programming Cable for Xilinx FPGAs. Overview. Revised January 22, 2015 This manual applies to the HTAG-HS2 rev. A 1300 Henley Court Pullman, WA 99163 509.334.6306 www.digilentinc.com Programming Cable for Xilinx FPGAs Revised January 22, 2015 This manual applies to the HTAG-HS2 rev. A Overview The Joint Test Action

More information

ALL-USB-RS422/485. User Manual. USB to Serial Converter RS422/485. ALLNET GmbH Computersysteme 2015 - Alle Rechte vorbehalten

ALL-USB-RS422/485. User Manual. USB to Serial Converter RS422/485. ALLNET GmbH Computersysteme 2015 - Alle Rechte vorbehalten ALL-USB-RS422/485 USB to Serial Converter RS422/485 User Manual ALL-USB-RS422/485 USB to RS-422/485 Plugin Adapter This mini ALL-USB-RS422/485 is a surge and static protected USB to RS-422/485 Plugin Adapter.

More information

ABB Drives. User s Manual. Pulse Encoder Interface Module RTAC-01

ABB Drives. User s Manual. Pulse Encoder Interface Module RTAC-01 ABB Drives User s Manual Pulse Encoder Interface Module RTAC-0 Pulse Encoder Interface Module RTAC-0 User s Manual 3AFE 64486853 REV A EN EFFECTIVE:.5.00 00 ABB Oy. All Rights Reserved. Safety instructions

More information

MasterBlaster Serial/USB Communications Cable User Guide

MasterBlaster Serial/USB Communications Cable User Guide MasterBlaster Serial/USB Communications Cable User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 80 Document Version: 1.1 Document Date: July 2008 Copyright 2008 Altera

More information

RC2200DK Demonstration Kit User Manual

RC2200DK Demonstration Kit User Manual Demonstration Kit User Manual Table of contents TABLE OF CONTENTS... 1 QUICK INTRODUCTION... 2 INTRODUCTION... 3 DEMONSTRATION BOARD... 4 POWER SUPPLY SECTION... 5 RS-232 INTERFACE... 6 CONNECTORS... 7

More information

DATASHEET. ADAM Arduino Display Adaptor Module. Arduino Compatible Shield P/N: 4Display-Shield-FT843 For the 4D Systems 4DLCD-FT843 Display

DATASHEET. ADAM Arduino Display Adaptor Module. Arduino Compatible Shield P/N: 4Display-Shield-FT843 For the 4D Systems 4DLCD-FT843 Display DATASHEET ADAM Arduino Display Adaptor Module Arduino Compatible Shield P/N: 4Display-Shield-FT843 For the 4D Systems 4DLCD-FT843 Display Document Date: 8 th January 2014 Document Revision: 1.0 Uncontrolled

More information

GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8

GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8 GETTING STARTED WITH PROGRAMMABLE LOGIC DEVICES, THE 16V8 AND 20V8 Robert G. Brown All Rights Reserved August 25, 2000 Alta Engineering 58 Cedar Lane New Hartford, CT 06057-2905 (860) 489-8003 www.alta-engineering.com

More information

WICE-SPI Hardware Operation Manual

WICE-SPI Hardware Operation Manual Contents 1.Hardware Instruction...1 2. Pin Definition Of WICE-SPI Connector...2 3. Peripheral Circuit Arrangements...3 4. On-Board Programming...4 5. Off-Line Programming...8 1.Hardware Instruction 1.WICE-SPI

More information

_ v1.0. EVB-56x Evaluation & Development Kit for Motorola PowerPC MPC56x Microcontrollers USERS MANUAL. isystem, February 2003 1/8.

_ v1.0. EVB-56x Evaluation & Development Kit for Motorola PowerPC MPC56x Microcontrollers USERS MANUAL. isystem, February 2003 1/8. _ v1.0 USERS MANUAL EVB-56x Evaluation & Development Kit for Motorola PowerPC MPC56x Microcontrollers EVB-563 EVB-565 Ordering code ITMPC563 ITMPC565 Copyright 2003 isystem, GmbH. All rights reserved.

More information

CAN bus board. www.matrixmultimedia.com EB018

CAN bus board. www.matrixmultimedia.com EB018 CAN bus board www.matrixmultimedia.com EB018 Contents About this document 3 Board layout 3 General information 4 Circuit description 5 Protective cover 6 Circuit diagram 7 2 Copyright About this document

More information

MX PIC24F Educational Module User Manual

MX PIC24F Educational Module User Manual MX PIC24F Educational Module User Manual Revision History Date Description Initial release. Table of Contents 1. Introduction... 3 1.1. Package Contents... 3 1.2. Key Hardware Features... 4 2. Hardware

More information

XPort Universal Demo Board User Guide

XPort Universal Demo Board User Guide XPort Universal Demo Board User Guide Part Number 900-563 Revision A September 2009 Copyright and Trademark Contacts 2009 Lantronix. All rights reserved. No part of the contents of this book may be transmitted

More information

SMARTCARD XPRO. Preface. SMART ARM-based Microcontrollers USER GUIDE

SMARTCARD XPRO. Preface. SMART ARM-based Microcontrollers USER GUIDE SMART ARM-based Microcontrollers SMARTCARD XPRO USER GUIDE Preface Atmel SMARTCARD Xplained Pro is an extension board to the Atmel Xplained Pro evaluation platform. Atmel SMARTCARD Xplained Pro is designed

More information

SyncLink GT2/GT4 Serial Adapter

SyncLink GT2/GT4 Serial Adapter SyncLink GT2/GT4 Serial Adapter Hardware User s Manual MicroGate Systems, Ltd http://www.microgate.com MicroGate and SyncLink are registered trademarks of MicroGate Systems, Ltd. Copyright 2008 2012 MicroGate

More information

EasyPIC4 User s Manual

EasyPIC4 User s Manual SOFTWARE AND HARDWARE SOLUTIONS FOR THE EMBEDDED WORLD MikroElektronika - Books - Compilers User s Manual PIC MICROCHIP DEVELOPMENT BOARD 3in1 mikro IN-CIRCUIT DEBUGGER USB 2.0 IN-CIRCUIT PROGRAMMER With

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Application Note, V2.2.1, July 2003 AP24001. OCDS Level 1 JTAG Connector. 16-Bit & 32-Bit Microcontrollers. AI Microcontrollers. Never stop thinking.

Application Note, V2.2.1, July 2003 AP24001. OCDS Level 1 JTAG Connector. 16-Bit & 32-Bit Microcontrollers. AI Microcontrollers. Never stop thinking. Application Note, V2.2., July 2003 AP2400 OCDS Level JTAG Connector 6-Bit & 32-Bit Microcontrollers AI Microcontrollers Never stop thinking. OCDS Level JTAG Connector Revision History: 2003-07 V2.2. Previous

More information

FlowKit in-circuit debug system

FlowKit in-circuit debug system FlowKit in-circuit debug system www.matrixmultimedia.com HP299 Contents About this document 3 Board layout 3 General information 4 Detailed operation 4 Circuit diagram 7 2 Copyright About this document

More information

DK40 Datasheet & Hardware manual Version 2

DK40 Datasheet & Hardware manual Version 2 DK40 Datasheet & Hardware manual Version 2 IPC@CHIP DK40 Evaluation module Beck IPC GmbH http://www.bcl.de page 1 of 11 Table of contents Table of contents... 2 Basic description... 3 Characteristics...

More information

21152 PCI-to-PCI Bridge

21152 PCI-to-PCI Bridge Product Features Brief Datasheet Intel s second-generation 21152 PCI-to-PCI Bridge is fully compliant with PCI Local Bus Specification, Revision 2.1. The 21152 is pin-to-pin compatible with Intel s 21052,

More information

DS2149DK/DS21349DK T1/J1 Line Interface Unit Design Kit

DS2149DK/DS21349DK T1/J1 Line Interface Unit Design Kit www.maxim-ic.com GENERAL DESCRIPTION The DS2149DK/DS21349DK is a fully integrated design kit for the DS2149 and the DS21349 T1/J1 line interface units (LIUs). It contains the necessary circuitry to evaluate

More information

TAP CONNECT JTAG CABLE

TAP CONNECT JTAG CABLE TAP CONNECT JTAG Cable Application Notes TAP CONNECT JTAG CABLE ontap JTAG Test & Programming Cable Installation and Setup Instructions for Standard and Low Voltage Cable Rev. V Table of Contents About

More information

MOD-ENC28J60 development board Users Manual

MOD-ENC28J60 development board Users Manual MOD-ENC28J60 development board Users Manual All boards produced by Olimex are ROHS compliant Rev. Initial, November 2008 Copyright(c) 2008, OLIMEX Ltd, All rights reserved Page 1 INTRODUCTION BOARD FEATURES

More information

Z8 Encore! XP F082A Series Development Kit

Z8 Encore! XP F082A Series Development Kit ZF0A00KITG Z Encore! XP F0A Series Development Kit UM00-009 Copyright 009 by Zilog, Inc. All rights reserved. www.zilog.com Z Encore! XP F0A Series Development Kit ii Revision History Each instance in

More information

AC-PG-USBASP USBASP AVR Programmer

AC-PG-USBASP USBASP AVR Programmer AC-PG-USBASP-UG TABLE OF CONTENTS 1. OVERVIEW... 1 1.1. Introduction... 1 1.2. References... 1 1.2.1. Referenced Web Pages... 1 1.2.2. Acronyms and Abbreviations... 1 1.3. Supported Microcontrollers...

More information

Lab Experiment 1: The LPC 2148 Education Board

Lab Experiment 1: The LPC 2148 Education Board Lab Experiment 1: The LPC 2148 Education Board 1 Introduction The aim of this course ECE 425L is to help you understand and utilize the functionalities of ARM7TDMI LPC2148 microcontroller. To do that,

More information

Quick Installation. A Series of Intelligent Bar Code Reader with NeuroFuzzy Decoding. Quick Installation

Quick Installation. A Series of Intelligent Bar Code Reader with NeuroFuzzy Decoding. Quick Installation Quick Installation A Series of Intelligent Bar Code Reader with NeuroFuzzy Decoding This chapter intends to get your new FuzzyScan scanner working with your existing system within minutes. General instructions

More information

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 956 24-BIT DIFFERENTIAL ADC WITH I2C LTC2485 DESCRIPTION

QUICK START GUIDE FOR DEMONSTRATION CIRCUIT 956 24-BIT DIFFERENTIAL ADC WITH I2C LTC2485 DESCRIPTION LTC2485 DESCRIPTION Demonstration circuit 956 features the LTC2485, a 24-Bit high performance Σ analog-to-digital converter (ADC). The LTC2485 features 2ppm linearity, 0.5µV offset, and 600nV RMS noise.

More information

ABB Drives. User s Manual HTL Encoder Interface FEN-31

ABB Drives. User s Manual HTL Encoder Interface FEN-31 ABB Drives User s Manual HTL Encoder Interface FEN-31 HTL Encoder Interface FEN-31 User s Manual 3AUA0000031044 Rev B EN EFFECTIVE: 2010-04-06 2010 ABB Oy. All Rights Reserved. 5 Safety instructions

More information

The following is a summary of the key features of the ARM Injector:

The following is a summary of the key features of the ARM Injector: Intended Use The ARM Injector is an indispensable tool for engineers who work with JTAG enabled target systems based on an ARM processor core with Debug and EmbeddedICE capability. The ARM Injector provides

More information

Hardware User Guide 2.1i

Hardware User Guide 2.1i Hardware User Guide Cable Hardware MultiLINX Cable FPGA Design Demonstration Board CPLD Design Demonstration Board Hardware User Guide.i Printed in U.S.A. Hardware User Guide R The Xilinx logo shown above

More information

Trace Port Analysis for ARM7-ETM and ARM9-ETM Microprocessors

Trace Port Analysis for ARM7-ETM and ARM9-ETM Microprocessors Trace Port Analysis for ARM7-ETM and ARM9-ETM Microprocessors Product Overview Introduction Quickly and accurately determine the root cause of your team s most difficult hardware, software, and system

More information

SKP16C62P Tutorial 1 Software Development Process using HEW. Renesas Technology America Inc.

SKP16C62P Tutorial 1 Software Development Process using HEW. Renesas Technology America Inc. SKP16C62P Tutorial 1 Software Development Process using HEW Renesas Technology America Inc. 1 Overview The following tutorial is a brief introduction on how to develop and debug programs using HEW (Highperformance

More information

A+ Guide to Managing and Maintaining Your PC, 7e. Chapter 1 Introducing Hardware

A+ Guide to Managing and Maintaining Your PC, 7e. Chapter 1 Introducing Hardware A+ Guide to Managing and Maintaining Your PC, 7e Chapter 1 Introducing Hardware Objectives Learn that a computer requires both hardware and software to work Learn about the many different hardware components

More information

Am186ER/Am188ER AMD Continues 16-bit Innovation

Am186ER/Am188ER AMD Continues 16-bit Innovation Am186ER/Am188ER AMD Continues 16-bit Innovation 386-Class Performance, Enhanced System Integration, and Built-in SRAM Problem with External RAM All embedded systems require RAM Low density SRAM moving

More information

STK500... User Guide

STK500... User Guide STK500... User Guide Table of Contents Section 1 Introduction... 1-1 1.1 Starter Kit Features...1-1 1.2 Device Support...1-2 Section 2 Getting Started... 2-1 2.1 Unpacking the System...2-1 2.2 System

More information

PCM over USB sample rates 44.1Khz 48Khz, 88,2Khz,96Khz,192Khz 352.8Khz, 384Khz I2S output

PCM over USB sample rates 44.1Khz 48Khz, 88,2Khz,96Khz,192Khz 352.8Khz, 384Khz I2S output FEATURES Core ARM Cortex -M3 ATSAM3U CPLD XILINX XC2C64A OSCILLATORS TCXO 24.5760 Mhz Low phase noise TCXO 22.5792 Mhz Low phase noise USB USB 2.0 High Speed dedicated 12Mhz crystal Class 2 compatible

More information

USB to serial chip CH340

USB to serial chip CH340 The DataSheet of CH340 (the first) 1 1. Introduction USB to serial chip CH340 English DataSheet Version: 1D http://wch.cn CH340 is a USB bus convert chip and it can realize USB convert to serial interface,

More information

Architectures, Processors, and Devices

Architectures, Processors, and Devices Architectures, Processors, and Devices Development Article Copyright 2009 ARM Limited. All rights reserved. ARM DHT 0001A Development Article Copyright 2009 ARM Limited. All rights reserved. Release Information

More information

USB / Data-Acquisition Module NOW LEAD-FREE

USB / Data-Acquisition Module NOW LEAD-FREE USB / Data-Acquisition Module NOW LEAD-FREE DLP-TEMP-G Features: Digital I/Os, Analog Inputs (0- Volts) or any combination USB. and.0 Compatible Interface th Generation Silicon from FTDI Supports Up To

More information

C8051F020 Utilization in an Embedded Digital Design Project Course. Daren R. Wilcox Southern Polytechnic State University Marietta, Georgia

C8051F020 Utilization in an Embedded Digital Design Project Course. Daren R. Wilcox Southern Polytechnic State University Marietta, Georgia C8051F020 Utilization in an Embedded Digital Design Project Course Daren R. Wilcox Southern Polytechnic State University Marietta, Georgia Abstract In this paper, the utilization of the C8051F020 in an

More information

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Design of a High Speed Communications Link Using Field Programmable Gate Arrays Customer-Authored Application Note AC103 Design of a High Speed Communications Link Using Field Programmable Gate Arrays Amy Lovelace, Technical Staff Engineer Alcatel Network Systems Introduction A communication

More information

Pmod peripheral modules are powered by the host via the interface s power and ground pins.

Pmod peripheral modules are powered by the host via the interface s power and ground pins. Digilent Pmod Interface Specification Revision: November 20, 2011 1300 NE Henley Court, Suite 3 Pullman, WA 99163 (509) 334 6306 Voice (509) 334 6300 Fax Introduction The Digilent Pmod interface is used

More information

CHAPTER 11: Flip Flops

CHAPTER 11: Flip Flops CHAPTER 11: Flip Flops In this chapter, you will be building the part of the circuit that controls the command sequencing. The required circuit must operate the counter and the memory chip. When the teach

More information

PROTO-SHIELD development board Users Manual

PROTO-SHIELD development board Users Manual PROTO-SHIELD development board Users Manual All boards produced by Olimex are ROHS compliant Revision Initial, June 0 Copyright(c) 0, OLIMEX Ltd, All rights reserved Page INTRODUCTION: PROTO-SHIELD is

More information

User Manual. AS-Interface Programmer

User Manual. AS-Interface Programmer AS-Interface Programmer Notice: RESTRICTIONS THE ZMD AS-INTERFACE PROGRAMMER HARDWARE AND ZMD AS-INTERFACE PROGRAMMER SOFTWARE IS DESIGNED FOR IC EVALUATION, LABORATORY SETUP AND MODULE DEVELOPMENT ONLY.

More information

Figure 1. 8-Bit USB Debug Adapter

Figure 1. 8-Bit USB Debug Adapter 8-BIT USB DEBUG ADAPTER USER S GUIDE 1. Introduction The 8-bit USB Debug Adapter (UDA) provides the interface between the PC s USB port and the Silicon Labs 8-bit target device s in-system debug/programming

More information

MFRD52x. Mifare Contactless Smart Card Reader Reference Design. Document information

MFRD52x. Mifare Contactless Smart Card Reader Reference Design. Document information Rev. 2.1 17. April 2007 Preliminary Data Sheet Document information Info Keywords Content MFRC522, MFRC523, MFRC52x, MFRD522, MFRD523, Mifare Contactless Smart Card Reader Reference Design, Mifare Reader

More information

Microcontroller Based Low Cost Portable PC Mouse and Keyboard Tester

Microcontroller Based Low Cost Portable PC Mouse and Keyboard Tester Leonardo Journal of Sciences ISSN 1583-0233 Issue 20, January-June 2012 p. 31-36 Microcontroller Based Low Cost Portable PC Mouse and Keyboard Tester Ganesh Sunil NHIVEKAR *, and Ravidra Ramchandra MUDHOLKAR

More information

ET-BASE AVR ATmega64/128

ET-BASE AVR ATmega64/128 ET-BASE AVR ATmega64/128 ET-BASE AVR ATmega64/128 which is a Board Microcontroller AVR family from ATMEL uses MCU No.ATmega64 and ATmega128 64PIN. Board ET-BASE AVR ATmega64/128 uses MCU s resources on

More information

ARDUINO SEVERINO SERIAL SINGLE SIDED VERSION 3 S3v3 (REVISION 2) USER MANUAL

ARDUINO SEVERINO SERIAL SINGLE SIDED VERSION 3 S3v3 (REVISION 2) USER MANUAL ARDUINO SEVERINO SERIAL SINGLE SIDED VERSION 3 S3v3 (REVISION 2) USER MANUAL X1: DE-9 serial connector Used to connect computer (or other devices) using RS-232 standard. Needs a serial cable, with at least

More information

CMOS OV7660 Camera Module 1/5-Inch 0.3-Megapixel Module Datasheet

CMOS OV7660 Camera Module 1/5-Inch 0.3-Megapixel Module Datasheet CMOS OV7660 Camera Module 1/5-Inch 0.3-Megapixel Module Datasheet Rev 1.0, June 2013 Table of Contents 1 Introduction...2 2 Features...3 3 Key Specifications...4 4 Application...4 5 Pin Definition...6

More information

8-Bit Flash Microcontroller for Smart Cards. AT89SCXXXXA Summary. Features. Description. Complete datasheet available under NDA

8-Bit Flash Microcontroller for Smart Cards. AT89SCXXXXA Summary. Features. Description. Complete datasheet available under NDA Features Compatible with MCS-51 products On-chip Flash Program Memory Endurance: 1,000 Write/Erase Cycles On-chip EEPROM Data Memory Endurance: 100,000 Write/Erase Cycles 512 x 8-bit RAM ISO 7816 I/O Port

More information

PLL frequency synthesizer

PLL frequency synthesizer ANALOG & TELECOMMUNICATION ELECTRONICS LABORATORY EXERCISE 4 Lab 4: PLL frequency synthesizer 1.1 Goal The goals of this lab exercise are: - Verify the behavior of a and of a complete PLL - Find capture

More information

Using Altera MAX Series as Microcontroller I/O Expanders

Using Altera MAX Series as Microcontroller I/O Expanders 2014.09.22 Using Altera MAX Series as Microcontroller I/O Expanders AN-265 Subscribe Many microcontroller and microprocessor chips limit the available I/O ports and pins to conserve pin counts and reduce

More information

M CORE 14-PIN ENHANCED BACKGROUND DEBUG INTERFACE (14EBDI) USER S MANUAL

M CORE 14-PIN ENHANCED BACKGROUND DEBUG INTERFACE (14EBDI) USER S MANUAL MMC14EBDIUM/D February 2000 M CORE 14-PIN ENHANCED BACKGROUND DEBUG INTERFACE (14EBDI) USER S MANUAL While every effort has been made to ensure the accuracy of all information in this document, Motorola

More information

Section 28. In-Circuit Serial Programming (ICSP )

Section 28. In-Circuit Serial Programming (ICSP ) M Section 28. In-Circuit Serial Programming (ICSP ) HIGHLIGHTS This section of the manual contains the following major topics: 28. Introduction...28-2 28.2 Entering In-Circuit Serial Programming Mode...28-3

More information

40-Segment 2.54 pitch LED Bar Display Board User s Guide

40-Segment 2.54 pitch LED Bar Display Board User s Guide Sure Electronics 0-Segment. pitch LED Bar Display Board User s Guide Product Name Product ID : 0-segment.mm pitch LED Bar Display Board : DE-DP0 Product Version : Ver.0 Document Version : Ver.0 Copyright

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Hardware and Software

Hardware and Software Hardware and Software 1 Hardware and Software: A complete design Hardware and software support each other Sometimes it is necessary to shift functions from software to hardware or the other way around

More information

ICS514 LOCO PLL CLOCK GENERATOR. Description. Features. Block Diagram DATASHEET

ICS514 LOCO PLL CLOCK GENERATOR. Description. Features. Block Diagram DATASHEET DATASHEET ICS514 Description The ICS514 LOCO TM is the most cost effective way to generate a high-quality, high-frequency clock output from a 14.31818 MHz crystal or clock input. The name LOCO stands for

More information

Chapter 13. PIC Family Microcontroller

Chapter 13. PIC Family Microcontroller Chapter 13 PIC Family Microcontroller Lesson 01 PIC Characteristics and Examples PIC microcontroller characteristics Power-on reset Brown out reset Simplified instruction set High speed execution Up to

More information

Nuvoton Nu-Link Debug Adapter User Manual

Nuvoton Nu-Link Debug Adapter User Manual Nuvoton Nu-Link Debug Adapter User Manual The information described in this document is the exclusive intellectual property of Nuvoton Technology Corporation and shall not be reproduced without permission

More information

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor Von der Hardware zur Software in FPGAs mit Embedded Prozessoren Alexander Hahn Senior Field Application Engineer Lattice Semiconductor AGENDA Overview Mico32 Embedded Processor Development Tool Chain HW/SW

More information

USER GUIDE Programming Adapter Cable for Fujitsu Flash Microcontroller- F²MC-16LX/FR Family Fujitsu Microelectronics America, Inc.

USER GUIDE Programming Adapter Cable for Fujitsu Flash Microcontroller- F²MC-16LX/FR Family Fujitsu Microelectronics America, Inc. USER GUIDE Programming Adapter Cable for Fujitsu Flash Microcontroller- F²MC-16LX/FR Family Fujitsu Microelectronics America, Inc. 1 Revision History Revision # Date Comment 1.0 03.25.2001 New Document

More information

LED board datasheet EB004-00-2

LED board datasheet EB004-00-2 LED board datasheet EB004-00-2 Contents 1 About this document... 2 2 General information... 3 3 Board layout... 4 4 Testing this product... 5 5 Circuit description... 6 Appendix 1 Circuit Diagram Copyright

More information

DRM compatible RF Tuner Unit DRT1

DRM compatible RF Tuner Unit DRT1 FEATURES DRM compatible RF Tuner Unit DRT1 High- Performance RF Tuner Frequency Range: 10 KHz to 30 MHz Input ICP3: +13,5dBm, typ. Noise Figure @ full gain: 14dB, typ. Receiver Factor: -0,5dB, typ. Input

More information

TRIPLE PLL FIELD PROG. SPREAD SPECTRUM CLOCK SYNTHESIZER. Features

TRIPLE PLL FIELD PROG. SPREAD SPECTRUM CLOCK SYNTHESIZER. Features DATASHEET ICS280 Description The ICS280 field programmable spread spectrum clock synthesizer generates up to four high-quality, high-frequency clock outputs including multiple reference clocks from a low-frequency

More information

CryptoAuth Xplained Pro

CryptoAuth Xplained Pro CryptoAuth Xplained Pro CryptoAuthentication Xplained Pro Extension Board HARDWARE USER GUIDE Atmel CryptoAuth Xplained Pro Extension Board Introduction The Atmel CryptoAuth Xplained Pro (CAXPro) Evaluation

More information

Atmel AVR1017: XMEGA - USB Hardware Design Recommendations. 8-bit Atmel Microcontrollers. Application Note. Features.

Atmel AVR1017: XMEGA - USB Hardware Design Recommendations. 8-bit Atmel Microcontrollers. Application Note. Features. Atmel AVR1017: XMEGA - USB Hardware Design Recommendations Features USB 2.0 compliance - Signal integrity - Power consumption - Back driver voltage - Inrush current EMC/EMI considerations Layout considerations

More information

SM1231 USER GUIDE SM1231 RF MODULE USER GUIDE

SM1231 USER GUIDE SM1231 RF MODULE USER GUIDE SM1231 RF MODULE Revision 1.0 11/2009 Page 1 of 8 www.semtech.com Table of Contents Table of Contents...2 Index of Figures...2 Index of Tables...2 1 Introduction...3 2 Reference Design...3 3 PCB Layout...6

More information

Chapter 6 PLL and Clock Generator

Chapter 6 PLL and Clock Generator Chapter 6 PLL and Clock Generator The DSP56300 core features a Phase Locked Loop (PLL) clock generator in its central processing module. The PLL allows the processor to operate at a high internal clock

More information

Open Flow Controller and Switch Datasheet

Open Flow Controller and Switch Datasheet Open Flow Controller and Switch Datasheet California State University Chico Alan Braithwaite Spring 2013 Block Diagram Figure 1. High Level Block Diagram The project will consist of a network development

More information

TURBO PROGRAMMER USB, MMC, SIM DEVELOPMENT KIT

TURBO PROGRAMMER USB, MMC, SIM DEVELOPMENT KIT TURBO PROGRAMMER USB, MMC, SIM DEVELOPMENT KIT HARDWARE GUIDE This document is part of Turbo Programmer documentation. For Developer Documentation, Applications and Examples, see http:/// PRELIMINARY (C)

More information

PHYS 2P32 Project: MIDI for Arduino/ 8 Note Keyboard

PHYS 2P32 Project: MIDI for Arduino/ 8 Note Keyboard PHYS 2P32 Project: MIDI for Arduino/ 8 Note Keyboard University April 13, 2016 About Arduino: The Board Variety of models of Arduino Board (I am using Arduino Uno) Microcontroller constructd similarly

More information

Android Controlled Based Interface

Android Controlled Based Interface Android Controlled Based Interface Objective Fix Foba Build Rofi (Fifth Generation Robot) Develop, Build, and Implement a Dynamic Balanced Biped Robot Table of Contents Objective... 1 Android Controlled

More information

Lab 1: Introduction to Xilinx ISE Tutorial

Lab 1: Introduction to Xilinx ISE Tutorial Lab 1: Introduction to Xilinx ISE Tutorial This tutorial will introduce the reader to the Xilinx ISE software. Stepby-step instructions will be given to guide the reader through generating a project, creating

More information

TCP/IP MODULE CA-ETHR-A INSTALLATION MANUAL

TCP/IP MODULE CA-ETHR-A INSTALLATION MANUAL TCP/IP MODULE CA-ETHR-A INSTALLATION MANUAL w w w. c d v g r o u p. c o m CA-ETHR-A: TCP/IP Module Installation Manual Page Table of Contents Introduction...5 Hardware Components... 6 Technical Specifications...

More information