Creating Affordable Silicon

Size: px
Start display at page:

Download "Creating Affordable Silicon"

Transcription

1 Creating Affordable Silicon John Tinson VP Sales Sondrel /05/2016 Presentation Title 1

2 The IoT Challenge Existing OEM s and start ups would benefit from a custom ASIC to prove their application and generate VC or lead customer interest VC s are typically unwilling to lend to develop silicon, they invest in the viable application OEM s are afraid to develop a SoC as this is not their core business, even if it may be significantly cheaper than off-the-shelf multiple chips they buy today 03/05/2016 Presentation Title Page 2

3 Partnering To Support Your ASIC 03/05/2016 Presentation Title Page 3

4 Affordable Silicon Customer creates a specification Sondrel provides arichitect2gdsii services Use Sondrel offshore design teams to control costs Project management, Tanner IoT AMS EDA tool suite and flow all supplied Partnered with expert suppliers in areas such as custom analogue design or Chip security IMEC offer affordable Multi Project Wafer services Presto Engineering silicon validation and supply chain services 03/05/2016 Presentation Title Page 4

5 Supporting Sondrel Accredited Design Partner of IMEC, ARM (& TSMC) Post production engineering via Presto Engineering Chip security via Secure Thingz 03/05/2016 Presentation Title Page 5

6 Design Centers

7 About Sondrel Established in 2002, HQ in UK Design Centres in UK, France, Morocco, China 110 design, verification, DfT & Place and Route engineers in 5 design centres focussed on design2gdsii Project Management Successfully taped-out >250 designs in 12 years, ranging from 0.18µm to 14nm 03/05/2016 Page 7

8 Consultancy Services with a Market Focus Mobile Communications IoT Automotive A sensors based chip with some limited on-board processing that sends data to a base station or direct to to the cloud 03/05/2016 Presentation Title Page 8

9 ISO Design Centres Sondrel has 5 design center locations The new infrastructure will be based on the latest ISO security management standard required to ensure a secure environment for Information Security Management Systems and 03/05/2016 Page 9

10

11 Multi Project Wafer Multi Project Wafer shares the cost of masks and wafers among a number of customers The cost can be further reduced when creating a very small batch for test chips (proof of principle for your lead customers) EUROPRACTICE IC Services mini@sic (multiple fabs involved) Sub dividing the MPW even further for academia or start up s Lowering the mask cost to sub 20K! 03/05/2016 Presentation Title Page 11

12

13 90nm dedicated mask example 13mm 2 wireless camera Dedicated mask NRE costs Mask cost $476, Engineering lot (6 wafers) $22, Total dedicated mask NRE costs $498, Dedicated mask wafer processing costs Processing cost $3, Wafer area (cm 2 ) 730 Dies per wafer 5615 Processing cost per die $0.53

14 90 nm MPW example 13mm 2 wireless camera MPW NRE costs Minimum production cost (inc 1 wafer) $52, Dies per wafer 100 Minimum die area (mm 2 ) 16 Actual die area (mm 2 ) 13 Actual MPW cost $52, Processing cost per die (first wafer) $ MPW wafer processing costs Additional wafer cost $6, Processing cost per additional die $61.00

15 180 nm MPW example 11mm 2 wireless sensor network chip MPW NRE costs Minimum production cost (inc 1 wafer) $25, Dies per wafer 40 Minimum die area (mm 2 ) 25 Actual die area (mm 2 ) 11 Actual MPW cost $25, Processing cost per die (first wafer) $ MPW wafer processing costs Additional wafer cost $2, Processing cost per additional die $50.00 Dedicated mask NRE costs Mask cost $120, Engineering lot (12 wafers) $14, Total decicate dmask NRE costs $134, Dedicated mask wafer processing costs Processing cost $1, Wafer area (cm 2 ) 324 Dies per wafer 2945 Processing cost per die $0.34

16 Reducing EDA Costs Tanner (Mentor) IoT AMS Flow 03/05/2016 Presentation Title Page 16

17 Tanner IoT Design Flow A complete analog & digital IC Design environment providing a highly integrated end-to-end flow Flexible and custom flow integration Foundry compatible technology files & DRC files 03/05/2016 Presentation Title Page 17

18 IoT Security Secure Thingz Consultancy 03/05/2016 Presentation Title Page 18

19 Introduction to Secure.Thingz. Focus on the specific security issues in rapidly evolving IoT space Delivering secure platforms in IoT - from mobile to edge nodes Over 25 years experience in delivering security solutions for ARM Close partnership with ARM, IMG & Synopsys Secure.Thinking. - Consultancy Services Working closely with Renesas on Synergy Platform Threat Analysis Right-sizing security for your application System Definition From SoC to Solution to deliver holistic approach to security Architecture Hardware & software architecture generation and implementation Design Auditing & Self-Certification Methodologies Ensuring compliance Secure.Deploy. Manufacturing Secured High assurance & secure manufacturing framework Leveraging high security TPM to deliver key material into contract manufacturers 19

20 End of Presentation

Semiconductor design Outsourcing: Global trends and Indian perspective. Vasudevan A Date: Aug 29, 2003

Semiconductor design Outsourcing: Global trends and Indian perspective. Vasudevan A Date: Aug 29, 2003 Semiconductor design Outsourcing: Global trends and Indian perspective Vasudevan A Date: Aug 29, 2003 Role of Semiconductors in Products Source: IC Insights Semiconductor content in end product increasing

More information

Complete ASIC & COT Solutions 1986-2008

Complete ASIC & COT Solutions 1986-2008 Complete ASIC & COT Solutions 1986-2008 www.avnet-asic.com Nadav Ben-Ezer Managing Director 1 March 5th, 2008 Core Business ASIC/SoC Design and Implementation RTL Design Sub-system IP Integration RTL to

More information

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS WWW.VONBRAUNLABS.COM Issue #1 VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS State Machine Technology IoT Solutions Learn

More information

IoT Security Concerns and Renesas Synergy Solutions

IoT Security Concerns and Renesas Synergy Solutions IoT Security Concerns and Renesas Synergy Solutions Simon Moore CTO - Secure Thingz Ltd Agenda Introduction to Secure.Thingz. The Relentless Attack on the Internet of Things Building protection with Renesas

More information

MARKET ANALYSIS AND KEY TRENDS FROM FD SOI PERSPECTIVE (SEPTEMBER 22, 2014)

MARKET ANALYSIS AND KEY TRENDS FROM FD SOI PERSPECTIVE (SEPTEMBER 22, 2014) MARKET ANALYSIS AND KEY TRENDS FROM FD SOI PERSPECTIVE (SEPTEMBER 22, 2014) INTERNATIONAL BUSINESS STRATEGIES, INC. 632 Industrial Way Los Gatos CA 95030 USA 408 395 9585 408 395 5389 (fax) www.ibs-inc.net

More information

Quality. Stages. Alun D. Jones

Quality. Stages. Alun D. Jones Quality - by Design Quality Design Review Stages Alun D. Jones Design Review Stages Design Review 0 (DR0) Pre-order & quotation stage Design Review 1 (DR1) Initial kick-off and preliminary specification

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

The Impact of IoT on Semiconductor Companies

The Impact of IoT on Semiconductor Companies Advisory The Impact of IoT on Semiconductor Companies Rajesh Mani Director, Strategy and Operations April 15, 2015 The Internet of Things (IoT) has been defined in multiple ways here s our take! The collection

More information

Home and Digital. Jean-Marc Chery Chief Operating Officer

Home and Digital. Jean-Marc Chery Chief Operating Officer Home and Digital Jean-Marc Chery Chief Operating Officer Driving Success 2 Digital Convergence Group turnaround in two waves to double revenues by Q4 2015 from Q4 2013 FD-SOI becoming an industry standard,

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

NVM memory: A Critical Design Consideration for IoT Applications

NVM memory: A Critical Design Consideration for IoT Applications NVM memory: A Critical Design Consideration for IoT Applications Jim Lipman Sidense Corp. Introduction The Internet of Things (IoT), sometimes called the Internet of Everything (IoE), refers to an evolving

More information

Figure 1. New Wafer Size Ramp Up as a Percentage of World Wide Silicon Area Versus Years Elapsed Since the New Size Was Introduced [1].

Figure 1. New Wafer Size Ramp Up as a Percentage of World Wide Silicon Area Versus Years Elapsed Since the New Size Was Introduced [1]. White Paper Forecasting the 45mm Ramp Up IC Knowledge LLC, PO Box 2, Georgetown, MA 1833 Tx: (978) 352 761, Fx: (978) 352 387, email: info@icknowledge.com Introduction The introduction and ramp up of 45mm

More information

Concevoir et produire des semiconducteurs en Europe: une Utopie? Let s have a look

Concevoir et produire des semiconducteurs en Europe: une Utopie? Let s have a look Concevoir et produire des semiconducteurs en Europe: une Utopie? Let s have a look Gérard MATHERON MIDIS MINATEC 24 avril 2009 1 Advanced Wafer Manufacturing Challenges Advanced Wafer Manufacturing Challenges

More information

Harnessing the Benefits of IOT Together. Yoshio Sekiguchi

Harnessing the Benefits of IOT Together. Yoshio Sekiguchi Harnessing the Benefits of IOT Together MEMS Engineer Forum 2015 April 20-21 Yoshio Sekiguchi MEMS Industry Group 2015 - MEMS Industry Group all rights reserved All images used with permission Agenda MEMS

More information

Interactive Interface USERS /CMP

Interactive Interface USERS /CMP Web site: http://cmp.imag.fr General informations Specific informations and forms Interface Users / CMP General informations Web site: Home page Quick References News Upcoming RUNS Customers login General

More information

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien ERÖFFNUNG DES INNOVATIONSZENTRUMS ADAPTSYS Dual Integration - Verschmelzung von Wafer und Panel Level Technologien Dr. Michael Töpper BDT Introduction Introduction Why do we need such large machines to

More information

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor A Starter Guide Joseph Yiu November 2014 version 1.02 27 Nov 2014 1 - Background Since the ARM Cortex -M0 Processor was released a few years

More information

Sustaining profitable growth Business focus and update

Sustaining profitable growth Business focus and update Sustaining profitable growth Business focus and update Scott McGregor President and Chief Executive Officer Philips Semiconductors Financial Analysts Day 2004 What we mean by sustaining profitable growth

More information

EUROPRACTICE IC service The right cocktail of ASIC Services

EUROPRACTICE IC service The right cocktail of ASIC Services EUROPRACTICE IC service The right cocktail of ASIC Services IC SERVICE ALLIANCE PARTNER EUROPRACTICE IC Service offers you a proven route to ASICs that features: Low-cost ASIC prototyping Flexible access

More information

The potential shake-up in semiconductor manufacturing business models

The potential shake-up in semiconductor manufacturing business models 19 Fotosearch/Getty Images The potential shake-up in semiconductor manufacturing business models The mobile revolution gave a lift to global semiconductor sales, partially enabled by the fabless-foundry

More information

The Internet of Things: Opportunities & Challenges

The Internet of Things: Opportunities & Challenges The Internet of Things: Opportunities & Challenges What is the IoT? Things, people and cloud services getting connected via the Internet to enable new use cases and business models Cloud Services How is

More information

MEPTEC. Ecosystem for MCU, Sensors and MEMS for IoT Tony Massimini Chief of Technology Semico Research Corp. May 20, 2015 tonym@semico.

MEPTEC. Ecosystem for MCU, Sensors and MEMS for IoT Tony Massimini Chief of Technology Semico Research Corp. May 20, 2015 tonym@semico. MEPTEC Ecosystem for MCU, Sensors and MEMS for IoT Tony Massimini Chief of Technology Semico Research Corp. May 20, 2015 tonym@semico.com Outline Impact of Sensors Basic Building Blocks for IoT Sensors

More information

IMEC S BUSINESS MODEL. M. Van Rossum

IMEC S BUSINESS MODEL. M. Van Rossum IMEC S BUSINESS MODEL M. Van Rossum Arenberg Doctoral School 2014 FACTS & FIGURES Total revenue in 2013 of 330 M R&D staff of >2100 people Collaboration with 600 companies & 208 universities, worldwide

More information

EEC 119B Spring 2014 Final Project: System-On-Chip Module

EEC 119B Spring 2014 Final Project: System-On-Chip Module EEC 119B Spring 2014 Final Project: System-On-Chip Module Dept. of Electrical and Computer Engineering University of California, Davis Issued: March 14, 2014 Subject to Revision Final Report Due: June

More information

A shared information framework across design and manufacturing:

A shared information framework across design and manufacturing: A shared information framework across design and manufacturing: Opportunities, Objectives and Obstacles Donald R. Cottrell IFST, June 2005 1 What is DFM Introduction Design for Money (Mark Mason, TI) It

More information

Teledyne DALSA. Our People, Technology, and Products

Teledyne DALSA. Our People, Technology, and Products Teledyne DALSA Our People, Technology, and Products Our Parent Company, Teledyne Technologies Teledyne is a US-based leading provider of sophisticated electronic subsystems, instrumentation and communication

More information

Interactive Interface USERS /CMP

Interactive Interface USERS /CMP Web site: http://cmp.imag.fr General informations Specific informations and forms Interface Users / CMP General informations Web site: Home page Quick References News Upcoming RUNS Customers login General

More information

Hong Kong IC Industry Study Report

Hong Kong IC Industry Study Report Hong Kong IC Industry Study Report Ir Dr. W.K. Lo Vice president 1 Hong Kong IC Industry Study Report Agenda Hong Kong s Competitive advantages and positioning Market opportunities Survey on Hong Kong

More information

Build your own solution with UMS

Build your own solution with UMS FOUNDRY SERVICES Build your own solution with UMS Your innovative partner for high performance, high yield MMIC solutions 2015-2016 www.ums-gaas.com FOUNDRY SERVICES UMS has developed a proven family of

More information

DIALOG SEMICONDUCTOR ANNOUNCES RESULTS FOR THE FIRST QUARTER OF 2012

DIALOG SEMICONDUCTOR ANNOUNCES RESULTS FOR THE FIRST QUARTER OF 2012 DIALOG SEMICONDUCTOR ANNOUNCES RESULTS FOR THE FIRST QUARTER OF 2012 Company reports a record first quarter revenue of $166.3 million, achieving strong year-onyear revenue growth of 69% Kirchheim/Teck,

More information

Start building a trusted environment now... (before it s too late) IT Decision Makers

Start building a trusted environment now... (before it s too late) IT Decision Makers YOU CAN T got HAP Start building a trusted environment now... IT Decision Makers (before it s too late) HAP reference implementations and commercial solutions are available now in the HAP Developer Kit.

More information

Synopsys First Quarter Fiscal Year 2016 Earnings Conference Call Prepared Remarks Wednesday, February 17, 2016

Synopsys First Quarter Fiscal Year 2016 Earnings Conference Call Prepared Remarks Wednesday, February 17, 2016 The following prepared remarks are an excerpt from Synopsys First Quarter Fiscal Year 2016 Earnings Call. To review the contents of the entire earnings call, please refer to the official webcast, which

More information

DesignWare IP for IoT SoC Designs

DesignWare IP for IoT SoC Designs DesignWare IP for IoT SoC Designs The Internet of Things (IoT) is connecting billions of intelligent things at our fingertips. The ability to sense countless amounts of information that communicates to

More information

Synopsys Investor Meeting

Synopsys Investor Meeting Synopsys Investor Meeting Lisa Ewbank VP, Investor Relations September 17, 2015 2015 Synopsys, Inc. 1 Forward-Looking Statements This presentation contains forward-looking statements under the safe harbor

More information

Corporate Overview for Investors

Corporate Overview for Investors Corporate Overview for Investors December 2015 May 2015 Forward-Looking Statements This presentation contains forward-looking statements under the safe harbor provisions of Section 21E of the Securities

More information

Introduction to Silicon Labs. November 2015

Introduction to Silicon Labs. November 2015 Introduction to Silicon Labs November 2015 1 Company Background Global mixed-signal semiconductor company Founded in 1996; public since 2000 (NASDAQ: SLAB) >1,100 employees and 11 R&D locations worldwide

More information

IoT Conference Call December 18, 2013 16:30 GMT

IoT Conference Call December 18, 2013 16:30 GMT Dial in details / Replay details: Are on the ARM website at www.arm.com/ir If you have any questions: As we won t be taking questions on the call, please email any questions ahead of time to Investor.relations@arm.com

More information

AMFitzgerald Company Overview

AMFitzgerald Company Overview AMFitzgerald Company Overview February 2015 12 th anniversary AMFitzgerald services MEMS Innovation MEMS Solutions Technology Strategy Creation of novel designs and IP Paths to manufacturing and market

More information

安 瑞 科 技 物 聯 網 對 應 用 交 付 器 (ADC) 的 需 求 及 應 用 實 例 徐 乃 丁 博 士 研 發 副 總 裁 / 技 術 長

安 瑞 科 技 物 聯 網 對 應 用 交 付 器 (ADC) 的 需 求 及 應 用 實 例 徐 乃 丁 博 士 研 發 副 總 裁 / 技 術 長 安 瑞 科 技 物 聯 網 對 應 用 交 付 器 (ADC) 的 需 求 及 應 用 實 例 徐 乃 丁 博 士 研 發 副 總 裁 / 技 術 長 Internet of Things needs Application Delivery Controller (ADC) But Internet of Things demands a new class of networking equipment,

More information

GOVERNMENT HOSTING. Cloud Service Security Principles Memset Statement. www.memset.com

GOVERNMENT HOSTING. Cloud Service Security Principles Memset Statement. www.memset.com GOVERNMENT HOSTING Cloud Service Security Principles Memset Statement Summary - March 2014 The Cabinet Office has produced a set of fourteen Cloud Service Security Principles to be considered when purchasers

More information

SEMI Microelectronic Manufacturing Supply Chain Quarterly Market Data - CYQ1 2016 www.semi.org/marketinfo

SEMI Microelectronic Manufacturing Supply Chain Quarterly Market Data - CYQ1 2016 www.semi.org/marketinfo SEMI Microelectronic Manufacturing Supply Chain Quarterly Market Data - CYQ1 2016 www.semi.org/marketinfo March 2016 Economic Trends Weakening Currency, especially Yen & Euro, dampened 2015 industry figures

More information

How To Make Money From Semiconductor Production

How To Make Money From Semiconductor Production ASML 2011 Third Quarter Results Confirming expectation for record sales year Oct 12, 2011 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of 1995: the

More information

More Secure, Less Costly IoT Edge Node Security Provisioning

More Secure, Less Costly IoT Edge Node Security Provisioning More Secure, Less Costly IoT Edge Node Security Provisioning Authors: Nicolas Schieli, Sr. Director, Secure Products Group Ron Ih, Sr. Manager, Marketing and Business Development Eustace Asanghanwa, Manager,

More information

TELIT Enabling IoT Solutions Boban Vukicevic SLIDE 1

TELIT Enabling IoT Solutions Boban Vukicevic SLIDE 1 TELIT Enabling IoT Solutions Boban Vukicevic SLIDE 1 SLIDE 2 50Billion Connected Things Cisco estimates that 50 billion devices and objects will be connected to the Internet by 2020 SLIDE 3 PIONEERS IN

More information

ARM Processor Evolution

ARM Processor Evolution ARM Processor Evolution: Bringing High Performance to Mobile Devices Simon Segars EVP & GM, ARM August 18 th, 2011 1 2 1980 s mobile computing HotChips 1981 4MHz Z80 Processor 64KB memory Floppy drives

More information

Company Presentation. February 2011. Sustainable Technologies Conference. June 8, 2011

Company Presentation. February 2011. Sustainable Technologies Conference. June 8, 2011 Company Presentation Sustainable Technologies Conference February 2011 June 8, 2011 Disclaimer This presentation contains forward-looking statements relating to the business, financial performance and

More information

A Career that Revolutionises & Improves Lives

A Career that Revolutionises & Improves Lives OPTION GROUP B ELECTRONIC ENGINEERING presented by K Radha Krishnan Associate Professor, EEE 25 February 2015 1 A Career that Revolutionises & Improves Lives Scientists investigate that which already is,

More information

CHASE Survey on 6 Most Important Topics in Hardware Security

CHASE Survey on 6 Most Important Topics in Hardware Security University of Connecticut CHASE Survey on 6 Most Important Topics in Hardware Security Prepared By Prof. M. Tehranipoor Charles H. Knapp Associate Professor in Engineering Innovation Topics! Counterfeit

More information

WE MAKE IT REAL! ELECTRONIC MECHANICAL EMBEDDED SOFTWARE PROTOTYPING

WE MAKE IT REAL! ELECTRONIC MECHANICAL EMBEDDED SOFTWARE PROTOTYPING Let your imagination run WE MAKE IT REAL! ELECTRONIC MECHANICAL EMBEDDED SOFTWARE PROTOTYPING Electronic Mechanical Embedded Software Prototyping About Motwane EDS Motwane EDS is a design house providing

More information

Internet Of things. Petr Ulvr, petr.ulvr@intel.com Business Development Manager Intel Corp. CEE New Biz Virtual Team

Internet Of things. Petr Ulvr, petr.ulvr@intel.com Business Development Manager Intel Corp. CEE New Biz Virtual Team Internet Of things Petr Ulvr, petr.ulvr@intel.com Business Development Manager Intel Corp CEE New Biz Virtual Team Intel s vision If it is smart and connected, it is best with Intel. Data Center/Network

More information

The Internet of Things System Integration Offerings

The Internet of Things System Integration Offerings The Internet of Things System Integration Offerings Helping you turn customers into subscribers. Whether you call it Machine-to-Machine (M2M), Internet of Things (IoT), or Internet of Everything (IoE),

More information

Wireless Enterprise, Industry & Consumer Apps for the Automation Age

Wireless Enterprise, Industry & Consumer Apps for the Automation Age Wireless Enterprise, Industry & Consumer Apps for the Automation Age Moderator: Robin Duke-Woolley, CEO and Founder, Beecham Research Panelists: Arlen Nipper, President and CTO, Eurotech Steve Pazol, President,

More information

Semtech Corporation Conflict Minerals Report for the Year Ended December 31, 2015

Semtech Corporation Conflict Minerals Report for the Year Ended December 31, 2015 Semtech Corporation Conflict Minerals Report for the Year Ended December 31, 2015 This Conflict Minerals Report of Semtech Corporation ( Semtech ) for calendar year 2015 is filed in accordance with Rule

More information

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut.

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut. System-on on-chip Design Flow Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems jouni.tomberg@tut.fi 26.03.2003 Jouni Tomberg / TUT 1 SoC - How and with whom?

More information

Mobile and Embedded/IoT market Overview and Trends. June 2014

Mobile and Embedded/IoT market Overview and Trends. June 2014 Mobile and Embedded/IoT market Overview and Trends June 2014 2 Ubiquitous Connectivity Quantified Self Ultra HD Media Streaming Global Wireless Broadband Wearables 3 Contactless Payments Wearable Momentum

More information

Improving Quality and Yield Through Optimal+ Big Data Analytics

Improving Quality and Yield Through Optimal+ Big Data Analytics Improving Quality and Yield Through Optimal+ Big Data Analytics International Test Conference October 2015 NASDAQ: MRVL Marvell at Glance Founded in 1995 by three UC Berkeley engineers IPO on June 27,

More information

Remote Monitoring offers a comprehensive range of services, which are continually

Remote Monitoring offers a comprehensive range of services, which are continually Remote Monitoring Since the early 1990 s commercial remote monitoring has provided security solutions across a broad spectrum of industries. As the threat of crime and the cost of manned guarding have

More information

Allocation of Engineering Resources for RF Front End Modules R&D Submitted by

Allocation of Engineering Resources for RF Front End Modules R&D Submitted by Allocation of Engineering Resources for RF Front End Modules R&D Submitted by John Holland and Jeff Thorn Fall 2004 Table of Contents Abstract... 3 INTRODUCTION... 3 Background... 3 Problem Statement...

More information

European Policies Affecting the Supply Chain for IoT. Andreas Wild Executive Director

European Policies Affecting the Supply Chain for IoT. Andreas Wild Executive Director European Policies Affecting the Supply Chain for IoT Andreas Wild Executive Director Content Introduction Internet of Things: an Opportunity A European Policy: ECSEL JU ECSEL 2014 Actions IoT: Driving

More information

3 Myths about IoT in Logistics

3 Myths about IoT in Logistics 3 Myths about IoT in Logistics Executive White Paper Contents Executive Summary... 2 Myth #1: IoT benefits advanced countries only.... 3 Myth #2: We mean the same thing by internet of things.... 4 Myth

More information

Technical Overview: WIP Tracking IC Manufacturing Workflow and Cycle-time Reduction. Dr. Klaus ten Hagen, Dr. Michael Orshansky

Technical Overview: WIP Tracking IC Manufacturing Workflow and Cycle-time Reduction. Dr. Klaus ten Hagen, Dr. Michael Orshansky IC Manufacturing Workflow and Cycle-time Reduction Dr. Klaus ten Hagen, Dr. Michael Orshansky Prepared by esilicon Corporation, Copyright March 2001 Introduction It is well known that talented product

More information

Smart Cities are the Internet of Things

Smart Cities are the Internet of Things June 20th, 2013 Smart Cities are the Internet of Things Zach Shelby, Chief Nerd 1 About Sensinode Vision The Internet of Things (IoT) is the next evolution of the Internet where devices of all types and

More information

Welcome & Introduction

Welcome & Introduction Welcome & Introduction Accelerating the next technology revolution Sitaram Arkalgud, PhD Director Interconnect Temporary Bond Workshop SEMICON West July 11, 2011 San Francisco CA Copyright 2008 SEMATECH,

More information

The Internet of Everything or Sensors Everywhere

The Internet of Everything or Sensors Everywhere The Internet of Everything or s Everywhere 2015 This document and the information included herein are proprietary of the China Wafer Level CSP Co., Ltd. Disclosure or reproduction by any media, inclusive

More information

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer & Interconnect Technologies Outline Low cost RFID Tags & Labels Standard applications and

More information

History 02.02.2010. www.roodmicrotec.com

History 02.02.2010. www.roodmicrotec.com Zwolle Dresden Nördlingen Stuttgart certified by. History - 1969: Foundation of German Signetics GmbH, test and assembly location, in Nördlingen (Germany) - 1974: Takeover by Philips Semiconductors - 1983:

More information

Acoustic/Electronic stack design, interconnect, and assembly Techniques available and under development

Acoustic/Electronic stack design, interconnect, and assembly Techniques available and under development Acoustic/Electronic stack design, interconnect, and assembly Techniques available and under development - supported by the European Commission under support-no. IST-026461 e-cubes Maaike M. V. Taklo :

More information

Realizing the Big Data potential - from data to business intelligence

Realizing the Big Data potential - from data to business intelligence Cloud and the Internet of Things Realizing the Big Data potential - from data to business intelligence Brett Frische RSS m2mair Cloud EMEA May 19, 2015 794 Employees Worldwide 100 Million+ Modules Shipped

More information

MirrorBit Technology: The Foundation for Value-Added Flash Memory Solutions FLASH FORWARD

MirrorBit Technology: The Foundation for Value-Added Flash Memory Solutions FLASH FORWARD MirrorBit Technology: The Foundation for Value-Added Flash Memory Solutions FLASH FORWARD MirrorBit Technology: The Future of Flash Memory is Here Today Spansion is redefining the Flash memory industry

More information

Microwatt to Megawatt - Transforming Edge to Data Centre Insights

Microwatt to Megawatt - Transforming Edge to Data Centre Insights Security Level: Public Microwatt to Megawatt - Transforming Edge to Data Centre Insights Steve Langridge steve.langridge@huawei.com May 3, 2015 www.huawei.com Agenda HW Acceleration System thinking Big

More information

Mobility. Mobility is a major force. It s changing human culture and business on a global scale. And it s nowhere near achieving its full potential.

Mobility. Mobility is a major force. It s changing human culture and business on a global scale. And it s nowhere near achieving its full potential. Mobility arrow.com Mobility This year, the number of mobile devices is expected to exceed the world s population. Soon, smartphones will surpass PCs as the device of choice for Internet access. A startling

More information

Microsemi Security Center of Excellence

Microsemi Security Center of Excellence Microsemi Security Center of Excellence Sales and FAE Training August 24, 2015 1 Outline What is the Security Center of Excellence (SCoE)? Overview of Microsemi s Security capabilities and expertise Threat

More information

Venture Capital. Why investing in Smart Systems. EPOSS Leuven October 2015. Alvise Bonivento Senior Investment Manager

Venture Capital. Why investing in Smart Systems. EPOSS Leuven October 2015. Alvise Bonivento Senior Investment Manager Venture Capital Why investing in Smart Systems EPOSS Leuven October 2015 Alvise Bonivento Senior Investment Manager ISP Group effort for innovation Players in the innovation arena Innovation Team Div.C&IB

More information

Leti Introduction and Overview

Leti Introduction and Overview Leti Introduction and Overview Leti Day in Tokyo, October 3rd 2012 Laurent Malier 2012 Leti 2012 1 CEA The CEA at a glance Commissariat à l Énergie Atomique et aux Énergies Alternatives is one of the largest

More information

Internet of Things From Idea to Scale

Internet of Things From Idea to Scale PRG Symposium Internet of Things From Idea to Scale September 12, 2014 alex.blanter@atkearney.com @AlexBlanter You are here today because you are interested in the Internet of Things and so is everybody

More information

2015. 9. 17 ARTIK TM. MyungKoo Kang (VP) The Ultimate Platform Solution for IoT. Samsung Electronics

2015. 9. 17 ARTIK TM. MyungKoo Kang (VP) The Ultimate Platform Solution for IoT. Samsung Electronics ARTIK TM The Ultimate Platform Solution for IoT 2015. 9. 17 MyungKoo Kang (VP) Samsung Electronics Future Changes from IoT Privacy in the Web Security in SmartGrill 2 IoT Market Forecast ($T) 7 6 5 4 3

More information

Integration Services

Integration Services Integration Services EXPERIENCED TEAM ADVANCED TECHNOLOGY PROVEN SOLUTIONS Integrations for large scale metrology applications Metris metrology to streamline your CAPABILITIES Advanced systems design Engineering

More information

H MICRO CASE STUDY. Device API + IPC mechanism. Electrical and Functional characterization of HMicro s ECG patch

H MICRO CASE STUDY. Device API + IPC mechanism. Electrical and Functional characterization of HMicro s ECG patch H MICRO CASE STUDY HMicro HMicro is a wireless healthcare chip company to enable industry s first fully disposable wireless patches with high reliability, high data integrity, low cost, small form factor

More information

Security for the Internet of Things

Security for the Internet of Things Security for the Internet of Things Moderated by: Robin Duke-Woolley Founder & CEO Beecham Research Sponsored by: Syed Zaeem Hosain Chief Technology Officer Aeris 16 December 2016 Security for the Internet

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler DAC 2008 Philip Watson Philip Watson Implementation Environment Program Manager ARM Ltd Background - Who Are We? Processor

More information

HEC Security & Compliance

HEC Security & Compliance HEC Security & Compliance SAP Security, Risk & Compliance Office November, 2014 Public Version 2.0 Details Introduction Overview Security Offering Approach Certifications Introduction Dear Customer, Information

More information

From Big Data to Real Time Manufacturing Intelligence. Keith Arnold

From Big Data to Real Time Manufacturing Intelligence. Keith Arnold From Big Data to Real Time Manufacturing Intelligence Keith Arnold Agenda Introduction Adaptive Test Background O+ System Architecture Data Feed Forward Questions & Discussion Optimal+ 2014 Company Confidential

More information

BUILDING BLOCKS AND CHALLENGES. of the Internet of Things

BUILDING BLOCKS AND CHALLENGES. of the Internet of Things BUILDING BLOCKS AND CHALLENGES of the Internet of Things Agenda SunMan Engineering Introduction What is the IoT? Why now? How can it help my problems? What are the challenges? The SunMan Engineering Solution

More information

7 Principles of the IoT

7 Principles of the IoT - A Personal Perspective Tom Bradicich, PhD VP, Server Engineering, HP Opening Remarks, Industrial Internet Consortium Summit June 23, 2015, Houston, Texas the IoT presents a big analog data problem the

More information

COMPLEXITY AND INTERNATIONALISATION OF INNOVATION

COMPLEXITY AND INTERNATIONALISATION OF INNOVATION COMPLEXITY AND INTERNATIONALISATION OF INNOVATION Why is Chip Design Moving to Asia? Dieter Ernst, East-West Center, Honolulu, Hawaii WIR 05 Geneva January 05 Internationalization of Innovation Unresolved

More information

Enterprise Application Enablement for the Internet of Things

Enterprise Application Enablement for the Internet of Things Enterprise Application Enablement for the Internet of Things Prof. Dr. Uwe Kubach VP Internet of Things Platform, P&I Technology, SAP SE Public Internet of Things (IoT) Trends 12 50 bn 40 50 % Devices

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Research Report: Addressing Security Concerns for Connected Devices in the Internet of Things Era

Research Report: Addressing Security Concerns for Connected Devices in the Internet of Things Era Sponsored by Oracle Research Report: Addressing Security Concerns for Connected Devices in the Internet of Things Era Introduction About Survey Respondents The Internet of Things (IoT) and the rise of

More information

Commanding the Lifecycle Disconnect

Commanding the Lifecycle Disconnect Executive White Paper Commanding the Lifecycle Disconnect How can a medical device manufacturer keep the long, highly regulated lifecycle of their business-critical imaging or diagnostics product aligned

More information

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2015 Financial Results Conference Call

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2015 Financial Results Conference Call Page 1 CADENCE DESIGN SYSTEMS, INC. Second Quarter 2015 Financial Results Conference Call Remarks of Lip-Bu Tan, President and Chief Executive Officer, and Geoff Ribar, Senior Vice President and Chief

More information

SEMICONDUCTOR WIRELESS SENSOR NETWORK MARKET EXECUTIVE SUMMARY. Wireless Sensor Network Energy Harvesting And Storage Applications

SEMICONDUCTOR WIRELESS SENSOR NETWORK MARKET EXECUTIVE SUMMARY. Wireless Sensor Network Energy Harvesting And Storage Applications Semiconductor Wireless Sensor Internet of Things (IoT): Market Shares, Strategies, and Forecasts, Worldwide, 2014 to 2020 ResearchMoz include new market research report" Semiconductor Wireless Sensor Internet

More information

7 Steps to Guide Your Field Service Technology Purchase

7 Steps to Guide Your Field Service Technology Purchase BUY ER S G UID E 7 Steps to Guide Your Field Service Technology Purchase Field service leaders across industries are looking for ways to modernize and streamline their service organizations. With increasingly

More information

SiP & Embedded Passives ADEPT-SiP Project

SiP & Embedded Passives ADEPT-SiP Project System-in-Package () and the ADEPT- Project David Pedder TWI Ltd, Granta Park, Great Abington, Cambridge Copyright 2006 ADEPT- & Embedded Passives ADEPT- Project Objectives Programme Partners ADEPT- Architecture

More information

Hitachi Europe R&D. Dr. David Williams. Activity in Europe. Hitachi Cambridge Laboratory. March 2014

Hitachi Europe R&D. Dr. David Williams. Activity in Europe. Hitachi Cambridge Laboratory. March 2014 Hitachi Europe R&D (ERD) Activity in Europe March 2014 Dr. David Williams Hitachi Cambridge Laboratory Contents 1. General information 2. ICT and Big Data Laboratories 3. Cambridge Laboratory 1 1-1 Hitachi

More information

Eric Collins Connected Holdings. Market Makers: Accelerate Your IoT Business with Connected Holdings!

Eric Collins Connected Holdings. Market Makers: Accelerate Your IoT Business with Connected Holdings! Eric Collins Connected Holdings Market Makers: Accelerate Your IoT Business with Connected Holdings! Connected Holdings Heritage: 2006 2009 2011 2012 2013 2014 Founded with Design Services Business Model

More information