High-temperature CVD processes for crystalline silicon thin-film and wafer solar cells



Similar documents
High-temperature CVD silicon films for crystalline silicon thin-film solar cells

Solar Photovoltaic (PV) Cells

Silicon Wafer Solar Cells

PV-FZ Silicon Wafers for High Efficiency Solar Cells

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Figure Process flow from starting material to polished wafer.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4

The Current status of Korean silicon photovoltaic industry and market Sangwook Park LG Electronics Inc.

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

ELG4126: Photovoltaic Materials. Based Partially on Renewable and Efficient Electric Power System, Gilbert M. Masters, Wiely

CRYSTAL DEFECTS: Point defects

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

Semiconductor doping. Si solar Cell

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar

Thin Is In, But Not Too Thin!

Vacuum Evaporation Recap

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Wafer-based silicon PV technology Status, innovations and outlook

Lezioni di Tecnologie e Materiali per l Elettronica

Photovoltaics photo volt Photovoltaic Cells Crystalline Silicon Cells Photovoltaic Systems

Fundamentals of Photovoltaic Materials

Graduate Student Presentations

SOLAR ELECTRICITY: PROBLEM, CONSTRAINTS AND SOLUTIONS

NANO SILICON DOTS EMBEDDED SIO 2 /SIO 2 MULTILAYERS FOR PV HIGH EFFICIENCY APPLICATION

High power picosecond lasers enable higher efficiency solar cells.

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

Chemical Synthesis. Overview. Chemical Synthesis of Nanocrystals. Self-Assembly of Nanocrystals. Example: Cu 146 Se 73 (PPh 3 ) 30

Coating Technology: Evaporation Vs Sputtering

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III

THIN-FILM SILICON SOLAR CELLS

CONTENTS. Preface Energy bands of a crystal (intuitive approach)

Developments in Photoluminescence Characterisation for Silicon PV

2. Deposition process

Chapter 7-1. Definition of ALD

NOVEL SOLAR CELL CONCEPTS

Solar Cell Parameters and Equivalent Circuit

From Nano-Electronics and Photonics to Renewable Energy

The Physics of Energy sources Renewable sources of energy. Solar Energy

What is Solar? The word solar is derived from the Latin word sol (the sun, the Roman sun god) and refers to things and methods that relate to the sun.

Silicon Dioxide Layer Key to High Efficiency Crystalline Solar Cells

AN900 APPLICATION NOTE

Layer transfer with porous Silicon (PSI-Prozess)

Secondary Ion Mass Spectrometry

APPLICATION OF X-RAY COMPUTED TOMOGRAPHY IN SILICON SOLAR CELLS

Development and Comparison of Small and Large Area Boron Doped Solar Cells in n-type and p-type Cz-Si

Graphene a material for the future

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

MCQ - ENERGY and CLIMATE

h e l p s y o u C O N T R O L

High Open Circuit Voltage of MQW Amorphous Silicon Photovoltaic Structures

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

DOE Solar Energy Technologies Program Peer Review. Denver, Colorado April 17-19, 2007

The different type of photovoltaic systems and their applications

Laser beam sintering of coatings and structures

DIFFUSION IN SOLIDS. Materials often heat treated to improve properties. Atomic diffusion occurs during heat treatment

Understanding the p-n Junction by Dr. Alistair Sproul Senior Lecturer in Photovoltaics The Key Centre for Photovoltaic Engineering, UNSW

Defects Introduction. Bonding + Structure + Defects. Properties

Designing of Amorphous Silicon Solar Cells for Optimal Photovoltaic Performance

Photovoltaic System Technology

Introduction to Thin Film Technology LOT. Chair of Surface and Materials Technology

The interaction of Cu(100)-Fe surfaces with oxygen studied with photoelectron spectroscopy. I

TDS. Dirk Rosenthal Department of Inorganic Chemistry Fritz-Haber-Institut der MPG Faradayweg 4-6, DE Berlin

Amorphous Silicon Carbide for Photovoltaic Applications

NEUTRON TRANSMUTATION DOPED (NTD) SILICON FOR HIGH POWER ELECTRONICS

How do single crystals differ from polycrystalline samples? Why would one go to the effort of growing a single crystal?

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

Formation of solids from solutions and melts

Solar Energy Engineering

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Electricity from PV systems how does it work?

OLED display. Ying Cao

Design of inductors and modeling of relevant field intensity

How MOCVD. Works Deposition Technology for Beginners

2 Absorbing Solar Energy

CHEMISTRY STANDARDS BASED RUBRIC ATOMIC STRUCTURE AND BONDING

Semiconductors, diodes, transistors

Growth by the Heat Exchanger Method and Characterization of Multi-crystalline Silicon ingots for PV

IV.H.2 New York State Hi-Way Initiative*

Adsorption at Surfaces

Lecture 2 - Semiconductor Physics (I) September 13, 2005

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

Module 7 Wet and Dry Etching. Class Notes

Photovoltaic Power: Science and Technology Fundamentals

INTRODUCTION TO ION IMPLANTATION Dr. Lynn Fuller, Dr. Renan Turkman Dr Robert Pearson

Solar Energy. Solar Energy range. NSG TEC Pilkington Microwhite Pilkington Optiwhite Pilkington Sunplus

How To Make Thin Lm Crystalline Silicon Solar Cells

CHAPTER 7 THE DEHYDRATION AND SWEETENING OF NATURAL GAS

III. Wet and Dry Etching

Optimization and Modeling. of Photovoltaic Silicon. Crystallization Processes

Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser

Staff: 1277 including students and student assistants Annual Budget: 86,1 million euros, including investments. (December 2014)

Economic Mass Producible Mirror Panels for Solar Concentrators

RECENT TRENDS IN PHOTOVOLTAICS TECHNOLOGY: CRYSTALLINE WAFERS VERSUS FLEXIBLE THIN FILMS. Saleem H. Zaidi, Gratings, Inc., Nov.

STM, LEED and Mass spectrometry

Introduction OLEDs OTFTs OPVC Summary. Organic Electronics. Felix Buth. Walter Schottky Institut, TU München. Joint Advanced Student School 2008

EXAMPLE EXERCISE 4.1 Change of Physical State

Lecture: 33. Solidification of Weld Metal

The Status and Outlook for the Photovoltaics Industry. David E. Carlson March 14, 2006

Chapter Outline Dislocations and Strengthening Mechanisms

Transcription:

High-temperature CVD processes for crystalline silicon thin-film and wafer solar cells Dissertation zur Erlangung des akademischen Grades Doktor der Naturwissenschaften (Dr. rer. nat.) an der Universität Konstanz Fachbereich Physik vorgelegt von Evelyn Karin Schmich Fraunhofer Institut für Solare Energiesysteme Freiburg 2008

ii Referenten: Prof. Dr. Gerhard Willeke Prof. Dr. Paul Leiderer Tag der mündlichen Prüfung: 18.07.2008

iii Table of contents 1 Introduction 1 2 The concepts of crystalline silicon thin-film solar cells 5 2.1 Introduction... 5 2.2 Advantages of crystalline silicon thin-film solar cells... 5 2.3 Low-temperature approach... 6 2.4 High-temperature approach... 7 2.4.1 Epitaxial wafer-equivalents... 8 2.4.2 Zone-melted crystalline films... 10 2.4.3 Transfer techniques... 10 2.5 Summary... 11 3 Silicon deposition by Chemical Vapour Deposition (CVD) 13 3.1 Silicon deposition techniques... 13 3.2 Principle of thermal atmospheric pressure CVD... 14 3.2.1 Reaction kinetics for Trichlorosilane and Silicontetrachloride... 15 3.2.2 Growth rate... 16 3.2.3 Chemical yield... 17 3.2.4 Dopant incorporation... 17 3.3 Deposition concept and reactors at Fraunhofer ISE... 23 3.3.1 Deposition principle... 24 3.3.2 RTCVD100... 25 3.3.3 RTCVD160... 26 3.3.4 ConCVD... 27 3.4 Process control... 28 3.4.1 Process sequence... 28 3.4.2 Doping... 29 3.4.3 Layer thickness homogeneity... 29 3.4.4 Epitaxial quality... 30 3.5 Summary... 31

iv 4 Optimisation of crystalline silicon thin-film solar cells 33 4.1 Basic principles... 33 4.1.1 Simulation tool... 33 4.1.2 High-efficiency solar cell process... 35 4.1.3 Screen-printing solar cell process... 35 4.2 Optimisation of the substrates... 36 4.2.1 Pre-deposition cleaning... 36 4.2.2 Block position of off-spec cast mc... 38 4.2.3 Gettering of off-spec cast mc substrates... 40 4.3 Optimisation of the epitaxial layer... 41 4.3.1 Epitaxial BSF... 41 4.3.2 Base lifetime... 43 4.3.3 Base thickness... 45 4.3.4 Base doping level... 46 4.3.5 Graded base profile... 48 4.3.6 Precursor... 51 4.4 Summary... 54 5 Epitaxy of emitters 57 5.1 Introduction... 57 5.1.1 Advantages of emitter deposition in photovoltaics... 57 5.1.2 Limitations of the deposition process and reactor... 58 5.2 p-type emitters on n-type wafers... 60 5.2.1 Approach and solar cell process... 60 5.2.2 First results... 61 5.2.3 Two-layer emitters... 64 5.2.4 Further improvements... 66 5.3 n-type emitters on p-type wafers... 67 5.3.1 Phosphine flow during cooling... 68 5.3.2 Solar cells with texturing... 69 5.4 n-type epitaxial emitters for csitf solar cells with evaporated contacts.. 71 5.4.1 Emitter treatments after deposition... 71 5.4.2 Improved two-layer emitters... 74 5.4.3 Simulation of an optimised emitter... 78 5.4.4 Recombination in epitaxial emitters... 82

v 5.4.5 Implementation of texture... 86 5.5 n-type epitaxial emitters for csitf solar cells with screen-printed... contacts..... 88 5.5.1 Design of the doping profile... 88 5.5.2 Contact formation... 89 5.5.3 Solar cells... 91 5.5.4 Alternative emitter structures... 94 5.6 Summary... 95 6 HCl gas etching of crystalline silicon 97 6.1 Etch mechanism... 97 6.1.1 Surface kinetics... 97 6.1.2 Etch rate... 99 6.1.3 Surface morphology...100 6.2 Optical confinement by surface texturing...103 6.2.1 Optical properties...104 6.2.2 Electrical properties...108 6.3 Optical confinement by porous intermediate layers...110 6.3.1 Functional principle of porous silicon...110 6.3.2 Creation and reorganisation of pores...112 6.3.3 Epitaxial layer quality...114 6.3.4 Solar cells...116 6.4 Gettering effect of HCl etching...118 6.5 HCl gettering of multicrystalline wafers...120 6.5.1 Experimental method... 120 6.5.2 Variation of temperature and HCl concentration...121 6.5.3 Dependence on time...124 6.6 HCl gettering and epitaxy on metallurgical silicon substrates...125 6.6.1 Impurity concentrations...126 6.6.2 Microscopic analysis of the substrate gettering...128 6.6.3 Epitaxial growth...130 6.6.4 Solar cells...132 6.7 Summary...134 7 Summary 137

vi 8 Outlook 141 Deutsche Zusammenfassung 143 Appendix A Solar cell fundamentals 147 Appendix A.1 I-V characteristics...147 Appendix A.2 Recombination mechanisms...148 Appendix B Measurements methods 150 Appendix B.1 Spreading resistance profiling (SRP)...150 Appendix B.2 Secondary ion mass spectrometry (SIMS)...151 Appendix B.3 Glow discharge mass spectrometry (GDMS)...151 Appendix B.4 Neutron activation analysis (NAA)...152 Nomenclatures List 153 References 159 Publications 177 Danksagung 179

1 1 Introduction In the year 2007, a different light was shed on the global potential for renewable energy. For the first time, a global common roadmap for a secure climate future and the wish of a binding deal to reduce at long-term the emissions of green house gases were presented at the United Nations' World Climate Change Conference held in Bali in December [1]. Furthermore, the European Commission has put forward an ambitious target for 20% renewable energy by 2020 in Europe s overall energy mix [2]. A swift transfer towards renewable energies is necessary to decelerate the climatic change. The sun is a huge, regenerative and clean energy source; a simple calculation demonstrates the potential of solar energy to satisfy the energy consumption of humans: the incident solar light on earth is approximately 1 kw per m² and thus 130 Million GW of solar energy reach the earth s land surface. In comparison, the actual global energy consumption is about 10000 times lower [3]. Therefore, only a small fraction of the incoming light needs to be accessible to humans and to be converted to electrical or thermal energy in order to satisfy the human energy consumption. The conversion of solar light into electrical energy can be performed by solar cells. The high potential of solar cells reached also the industry. The PV production in 2007 was between 3.4GW P [4] and 4.2 GW P [5] and a total installation of 12.4 GW P [6]. Since 2003 the annual growth rate is, on average, more than 50% [5, 7]. Such an increased interest for solar cells promotes the research for cheaper and more efficient solar cells. The current industrial solar cell market is mainly based on crystalline silicon (c-si). In 2007 the market was dominated by single crystal wafers (42%) and multicrystalline (mc) wafers (45%), with smaller shares allotted to silicon ribbons (2.2%) and thin-films, such as CIS (0.5%), CdTe (4.7%) and a-si:h (5.2%) [5]. The thin-film sector grew by almost 80%, indicating that this technology is gaining an increased acceptance [7]. One reason is that only a small amount of expensive material is used, compared to a standard silicon module in which 50% of the costs are from the silicon wafer [8]. High-purity silicon is very difficult to produce and much effort and many cleaning steps are necessary in order to reach purity levels of better than 1 ppb [9]. The price of

2 Introduction SEMI 1 quality silicon is between 60 and 200 /kg and is partly this high due to the actual scarcity of high-quality silicon. It now becomes clear that the costs can be dramatically reduced when using alternative silicon sources independent from the microelectronics or concepts with reduced silicon consumption. One way to decrease the cost of the solar cells is to use solar grade poly silicon, with purity levels between 0.1 and 1000 ppm [10]. This silicon feedstock also has a cost projection of 15-20 /kg [10, 11] so alternative technologies to decrease even further the solar cell cost are necessary. For example, silicon losses due to wafering can be avoided when using the direct growth of silicon ribbons (e.g. edge defined film-fed growth (EFG) and ribbon growth on substrates (RGS)). An alternative approach is the deposition of a high-purity silicon thin-film on a cheap substrate [12]. Low cost substrates such as metallurgical silicon (mg-si), glass or ceramics can be used. Wafers with epitaxial growth on low-cost silicon substrates are also called epitaxial wafer-equivalents (EpiWE), due to their resemblance to standard wafers. The concept of crystalline silicon thin-film (csitf) solar cells can substantially reduce silicon material consumption and has the potential to reach high efficiencies comparable to wafer silicon solar cells. The deposition of a thin silicon film by high-temperature chemical vapour deposition (CVD) and using a high-throughput reactor would cost below 15 /m 2 [13]. Therefore, a module made of crystalline silicon thin-film solar cells with 15% efficiency could costs below 1 /W P [13, 14]. Compared to typical a module of multicrystalline solar cells with an actual module cost of 4.7 /W P [15], the thin-film approach has a significant cost reduction potential. Since the early 1960s silicon thin-film solar cell concepts have been investigated [16]. However, due to the evolution of the PV market during the last years, the research was emphasised on this subject and a large variety of concept have been presented. 1 Semiconductor Equipment and Materials International is a trade organization and defines, among other activities, the standards specifications in the industry.

Introduction 3 n + emitter texture p epitaxial base p + epitaxial BSF porous intermediate layer mg, mc or Cz p + Si substrate Figure 1-1: Scheme of an epitaxial wafer-equivalent. Outline of this thesis This thesis deals with the optimisation of crystalline silicon thin-film solar cells grown by high-temperature atmospheric pressure chemical vapour deposition on silicon substrates. Through the concept of the epitaxial wafer-equivalent the sticking points were defined and examined extensively. In-situ processes were developed in order to minimise the process cost of the cell. Figure 1-1 shows the concept pursued in this thesis, with the following emphasis: the influence of the substrate quality, the optical confinement by intermediate porous silicon layer and front side texture, the optimisation of the epitaxial films for the use of BSF, base and emitter. Chapter 2 presents a short overview of crystalline silicon thin-film solar cell approaches. Firstly, the advantages of crystalline silicon thin-film concepts are pointed out. Concepts using low and high-temperature silicon deposition are presented with the emphasis on the direct epitaxial deposition at high temperature. The concepts pursued at Fraunhofer ISE, i.e. the epitaxial and recrystallised wafer-equivalents are described. Chapter 3 presents an introduction to the chemical vapour deposition (CVD) of silicon at atmospheric pressure (APCVD) - the basic method used in this work. The reaction kinetics and the doping incorporation for this CVD technique are presented and compared to the experimental data gained in the lab-type CVD reactors. Furthermore, the deposition concept, the CVD reactors and process control at Fraunhofer ISE are explained in detail. In Chapter 4, the efforts made in the last years to improve the efficiencies of the epitaxial wafer-equivalent solar cells are summarised. A short overview of the simulation tool and the applied solar cell processes is given. The cell

4 Introduction parameters are then examined in terms of their dependence on the substrates features. The cleaning, the block position and gettering of the substrate are investigated. Thereafter, the growth of the epitaxial layer by a different precursor is experimentally examined. The back surface field (BSF) and base are optimised by simulations and experimental results are shown. The influence of the epitaxial BSF, base doping, lifetime and thickness is investigated in detail. One main focus of this thesis, the application of silicon epitaxy for the emitter formation, is shown in Chapter 5. The advantages of the emitter deposition and restrictions due to the CVD reactors are presented. The deposition process, which was elaborated in this work, is then described in detail with emphasis on the doping profile and solar cell results. Solar cells of wafers with epitaxial emitters of both doping types are presented and finally, the concept is applied to p-type crystalline silicon thin-film solar cells on Czochralski and multicrystalline substrates. Furthermore, simulations results are shown and the recombination within the space charge region is investigated. The application to industrial relevant screen-printing technique is evaluated and first solar cells are presented. The application of HCl etching of silicon for solar cells is presented in Chapter 6. Firstly, the surface kinetics and surface morphology is introduced. HCl gas etching is a subject of research to perform in-situ processes for optical confinement, i.e. porous intermediate layers and texturing. Detailed process descriptions and properties for the use as internal reflector are presented. Additionally, the gettering effect of HCl etching is elaborated. The performance was firstly tested on substrates with relatively low-impurity level. The influence of the HCl getting was detected by lifetime measurements. The process was then applied on metallurgical substrates and characterised by mass spectrometry. A microscopic analysis and first solar cells are presented.

5 2 The concepts of crystalline silicon thinfilm solar cells This chapter introduces the most common concepts and the advantages of crystalline silicon thin-film solar cells. The low and high-temperature approaches, as well as the transfer techniques, are explained. The emphasis will remain on the concepts followed at Fraunhofer ISE, which are the epitaxial and recrystallised wafer-equivalents. 2.1 Introduction As already mentioned in Chapter 1, the silicon thin-film concepts were mainly introduced to reduce the cost of solar cells. Thin-films are, by definition, materials created by random nucleation and growth processes on a substrate [14]. The thickness of thin-films may vary from a few nanometres to tens of micrometers [14], usually less than 50 µm [17] for solar cell applications. As silicon is an indirect semiconductor, thick layers or improved light trapping are needed in order to reach efficiencies comparable to wafer solar cells. With optimum optical confinement and taking into account radiative and Auger recombination, an efficiency up to 25% is theoretically possible for a 10 µm cell or 15% for a 0.5 µm thick cell [17]. Traditionally, concepts of crystalline silicon thin-film (csitf) solar cells are divided into low and high-temperature approaches, depending on the temperature of the silicon deposition and maximum toleration of the substrate. The transfer technique is an exception to this classification, as the silicon layer is grown at high temperature but the solar cell substrate withstands only low temperatures. 2.2 Advantages of crystalline silicon thin-film solar cells The realisation of silicon thin-film solar cells profits from both thin-film and silicon wafer characteristics. The main advantages are listed below, with the focus on the epitaxial wafer-equivalent, which is described in the hightemperature section. Advantages of wafer silicon: - Silicon is a non-toxic and abundant material. - Silicon has high and stable lifetimes.

6 The concepts of crystalline silicon thin-film solar cells - Due to the importance of silicon in the microelectronic industry, there is much knowledge about the properties and handling of silicon. - A large PV industry dealing with silicon wafers is already established and much process knowledge specific to solar cells can be transferred. - The solar cell process of the epitaxial wafer-equivalent is similar to the stateof-the-art process in the PV industry and consequently there is a low acceptance threshold of using this new concept in the PV industry. The investments and risks are minimised [14]. - A high process yield of csitf solar cell production is probable because the production quality monitoring tools can be transferred from the wafer production [14]. Advantages of thin-films: - Thin-film solar cells have a low material consumption of high-purity silicon. - Low purity substrates can be used, reducing the total costs of the solar cells. - Fast and large area deposition (depending on the technique) can be used, resulting in high-throughput production. - It may also be possible to simplify some solar cell processes. In-situ processes, such as emitter epitaxy and HCl cleaning can be applied in the wafer-equivalent approach. 2.3 Low-temperature approach Crystalline silicon thin-film solar cells grown at low temperatures have the advantage that a large variety of substrates are available. Materials such as float glass, high-temperature boron-silicate and soda lime glass, plastic films and even steel can be used as the growth temperature is limited to 600 C. Contamination problems in the deposited silicon film are reduced because diffusion is negligible at low temperatures. The disadvantage is that the process temperature is limited by the substrates and a very low growth rate results. Typical growth rates are between 3 and 10 nm/min and this is the limiting step for the industrialisation of microcrystalline silicon [18]. Depending on the growth technique, the deposited silicon films have different grain sizes, which are classified as shown e.g. in Figure 2-1. When the silicon film is deposited below 400 C by PECVD, nanocrystalline or microcrystalline structures are obtained, whereas polycrystalline structures are formed when the deposition is made by thermal CVD at temperatures above 600 C [18]. Larger grains usually result in higher efficiencies thus often the film is recrystallised to improve the

High-temperature approach 7 grain structure. However, as the substrate is not heat resistant in this approach, low-temperature recrystallisation must be used e.g. by rapid thermal annealing, solid-phase, laser, e-beam and aluminium induced recrystallisation [19]. An advantage of low-temperature deposition is that hydrogen is incorporated in the silicon layer during the deposition. It thereby passivates defects and grain boundaries [18], but in comparison to large crystal structures, many grain boundaries are still active and have high recombination rates. Another disadvantage of the concept is that the solar cell process has to be adapted, e.g. for the emitter diffusion. Nano- Micro- Poly- Multi- Monocrystalline 1 nm 100 nm Grain size 1 µm 1 mm 1 cm 1 m Figure 2-1: A common classification of crystal structures according to grain sizes. The first fabrication of µc-si:h using PECVD was performed in 1979 [18], but micro-crystalline silicon thin-film solar cells were not considered feasible at first due to poor quality. In 1994, the research group at the Institute for Microelectronics at the University of Neuchâtel presented a single junction solar cell with an efficiency over 7% [18]. Nowadays, the best cell efficiencies on glass superstrates have values above 12% [20]. Increased efficiencies up to 15.0% are reached [21] when using a micromorph tandem structure, i.e. the combination of a microcrystalline bottom cell with an amorphous silicon top cell, and an efficient light trapping. In 2004, Kaneka Corporation presented a module with an aperture efficiency of 13.4% [22]. 2.4 High-temperature approach The high-temperature deposition of silicon is mainly accomplished by two methods, liquid phase epitaxy or chemical vapour deposition (CVD), the latter technique is explained in detail chapter 3. Silicon deposition performed at temperatures above 1000 C typically produces good crystal quality. On substrates with a similar lattice match to silicon, epitaxial 2 growth occurs and results in poly-, multi- or even monocrystalline silicon films, depending on the 2 Epitaxy is deviated from epi and taxis, which means in greek upon and ordered, respectively. Epitaxy denotes the growth of crystals of a material on the crystal base of another material, such that the crystalline substrates of both materials have the same structural orientation [23].

8 The concepts of crystalline silicon thin-film solar cells substrate structure (Figure 2-1). Despite the high temperature, if a foreign material substrate is used, a polycrystalline film is deposited and grain enlargement becomes necessary to reach acceptable efficiencies. As a rule of thumb for good efficiencies, the diffusion length in csitf solar cells should be more than 2 times longer that the thickness of the layer. Due to the fact that the substrate is heat-resistant, high-temperature recrystallisation techniques can be applied, e.g. rapid-thermal annealing, laser, liquid-phase or zone-melting recrystallisation. However, the requirements for the substrate are quite demanding and there are less suitable substrates available compared to the lowtemperature approach. Furthermore, the purity of the substrate has to be high enough so that only few impurities can diffuse into the active layer during the deposition. For substrates with a high number of impurities, an intermediate layer is necessary to act as a diffusion barrier. Three main concepts within the high-temperature approach are presented in this section: - the epitaxial thin-film silicon solar cell on a low cost silicon substrate; - the recrystallised thin-film silicon solar cell on foreign, conductive and nonconductive substrates; - and the lift-off thin-film silicon solar cell, where the silicon substrate is reused. 2.4.1 Epitaxial wafer-equivalents The simplest form of a crystalline silicon thin-film (csitf) solar cell is an epitaxial active layer deposited on a highly-doped inactive silicon substrate (Figure 2-2-A). Due to its resemblance to a silicon wafer solar cell it is also called the epitaxial wafer-equivalent (EpiWE). Standard industrial solar cell processes can be applied to this wafer-equivalent. CSiTF solar cells were first fabricated in the 1970s. Chu et al. presented epitaxial silicon thin-films deposited on purified and uni-directionally solidified mg-si substrates. They reached efficiencies up to 9.7% measured under an AM1 spectrum [24]. Despite this effort, little progress was made with EpiWEs until years later. In the 1990s, high-efficiencies were investigated with a best result from a 45 µm thick epitaxy layer on an Fz substrate with an ion-implanted SiO 2 layer beneath the surface. With this sophisticated SIMOX 3 approach and a highefficiency solar cell process, a 19.2% cell efficiency was reached [25]. 3 SIMOX: Separation by IMplanted OXygen.

High-temperature approach 9 Nowadays, more cost-effective substrates and solar cell processes are used. An attractive option to reduce the substrate costs while keeping the impurity level of the substrate low is the recycling of waste silicon. Such materials are highlydoped reclaimed wafers from the microelectronics industry or tops and tails from Czochralski-grown silicon, which are cast to multicrystalline silicon (offspec cast mc). More abundant, but also more impure substrates are metallurgical grade silicon (mg-si) or up-graded mg-si (umg-si). The impurities diffuse through the material easily at high temperatures and it is difficult to develop a process that prevents the formation of recombination centres. As the substrate and the deposited layer in the epitaxial Si solar cell have the same refractive index, no internal reflection occurs at this interface. In order to introduce optical confinement, an intermediate layer with a different refractive index must be identified that also allows good quality crystal growth. This could be achieved by using e.g. porous silicon, of which the porosity and therefore the refractive index can be adjusted [26, 27]. The advantage is that epitaxy is possible on porous silicon without sacrificing the quality of the epitaxial layer. This method was also part of this thesis project and is described in more detail in Section 6.3. Another option is to deposit a perforated SiO 2 layer, which is then overgrown by the epitxay. A selective epitaxial growth through a pattern of openings with liquid phase epitaxy was already developed by [28]. The oxide layer has a perfect refractive index for this purpose and acts additionally as a diffusion barrier. At present, research with optimised solar cell concepts is underway. E.g. the emitter wrap-through concept is known to reduce the grip shadowing [29]. A concept combining the advantages of the wafer-equivalent approach and the emitter wrap-through concept is currently under investigation [30]. n + emitter 0.4-1 µm n + emitter 0.4-1 µm n + emitter 0.4-1 µm p epitaxial base 20 µm p epitaxial base 20 µm p epitaxial base 20 µm p + epitaxial BSF 2 µm p + zone molted BSF 2 µm p + zone molted BSF 2 µm intermediate layer 0.2 µm intermediate layer 0.2 µm low cost p + Si substrate 270 µm low cost p + Si substrate 270 µm low cost ceramic substrate 270 µm A B C Figure 2-2: Thin-film concepts pursued at Fraunhofer ISE: Epitaxial wafer-equivalent (A), Laser-fired rear access (LFA) (B), recrystallised wafer-equivalent on ceramics (C).

10 The concepts of crystalline silicon thin-film solar cells 2.4.2 Zone-melted crystalline films By zone-melting recrystallisation (ZMR), microcrystalline silicon films are melted and cooled in a controlled manner so that the grain size is enlarged to over 10 mm 2. A long, narrow silicon strip is melted and this liquid zone is scanned across the sample. Thereby, elongated grains of up to 10 cm in length and several millimetres in width are formed [31, 32]. The dislocation density varies widely with crystal orientation [24, 32] and much effort has been invested into achieving large and homogeneous recrystallisations [33]. A new concept called laser-fired rear access (LFA) is currently under development at Fraunhofer ISE. A scheme of these concepts are shown in Figure 2-2-B [34]. On a low-cost and impure silicon substrate, an SiO 2 diffusion barrier is deposited and subsequently a silicon layer. The microcrystalline silicon is then zone-melted. A subsequent laser processing creates holes, which penetrate the insulating SiO 2 layer. The holes are filled up by an epitaxially deposited base, establishing the connection to the rear side contact (hence the name). This approach combines the advantages of an intermediate SiO 2 layer (light trapping and diffusion barrier) with a simple two-sided cell metallisation process. Recently, efficiencies up to 8.4% have been reached at Fraunhofer ISE [34]. Mitsubishi electric corporation presented a 16.5% efficient cell with an SiO 2 intermediate layer and zone-melted film, but more complex cell concept [32]. This proves the potential of this concept. When using foreign substrates, such as ceramic or graphite, a recrystallisation of the microcrystalline silicon film becomes necessary. On non-conductive substrates, sophisticated cell structures are applied, such as front side contacts. These solar cell processes are too complex for a low-cost industrial application and therefore efforts are channelled to the development of conductive substrates and intermediate layers [35]. Figure 2-2-C shows the scheme of a csitf solar cell on a ceramic substrate with two-sided contacts, an SiC intermediate layer and ZMR recrystallisation. Efficiencies up to 7.2% were reached so far [35]. 2.4.3 Transfer techniques A compromise between high-temperature epitaxial deposition and low cost substrates can be reached with transfer techniques. A high-quality csitf is deposited on a host substrate by epitaxy. The emitter and front contact formation are done while the csitf is still attached to the substrate and only after completion of the front-side cell structure the csitf is lifted-off and transferred

Summary 11 to a low-cost substrate. The host substrate can be electrochemically pre-etched to form a highly porous silicon layer (PSI). The porous structure of the layer changes during thermal annealing such that large voids are formed and separation is easily possible. On top of this layer a layer of low porosity is etched so that an epilayer of good quality can be grown. The subsequent solar cell process can be simplified, e.g. by autodiffusion of the emitter when using a highly-doped n-type substrate [36]. The csitf is separated mechanically and glued to a textured glass superstrate for mechanical protection. The hostsubstrate can be reused several times; however, the quality of the epitaxial layer worsens [28]. Another transfer technique is the Epilift concept, where the epitaxy is grown by LPE on a monocrystalline substrate with a mesh-like SiO 2 layer. The csitf has then a waffle-grid structure, which can be contacted using an interdigitated grid. Efficiencies up to 13% have been reached [37]. 2.5 Summary Silicon is a non-toxic, abundant material for which much process knowledge from the microelectronics and solar cell industries can be transferred. Crystalline silicon thin-film solar cells combine the advantages offered by the wellestablished silicon wafer industry with the advantages of thin-film concepts, such as low material consumption of high-purity silicon and low-cost substrates. Depending on the process temperature, different substrates are required. For low growth-temperatures cheap substrates such as glass and plastic films can be used. High growth-temperatures need thermally stable substrates, such as ceramics or low-cost silicon. Microcrystalline silicon thin-film solar cells show low conversion efficiencies and recrystallisation methods to enlarge the grains are necessary. At Fraunhofer ISE two main concepts are pursued: the epitaxial and the recrystallised wafer-equivalents. The simplest approach of crystalline thin-film solar cells is the direct deposition of an epitaxial layer on a low-cost substrate. Optical confinement is necessary and is accomplished with reflective intermediate layers. Highest efficiencies of 19.2% for epitaxial waferequivalents with an ion-implanted SiO 2 layer were reached. Nowadays, more cost-effective approaches are followed, including epitaxial deposition on metallurgical silicon or layer transfer techniques.

13 3 Silicon deposition by Chemical Vapour Deposition (CVD) As a result of the invention of the bipolar transistor by Bardeen, Brattain and Shockley in 1947 and therefore the necessity of abrupt junctions in silicon, the Chemical vapour deposition (CVD) became the key silicon deposition process for microelectronic production. This chapter introduces the basic techniques for silicon deposition and then focuses on thermal atmospheric pressure CVD, our technique of choice. The reaction kinetics and the doping incorporation for this CVD technique are introduced. Furthermore, the deposition concept and an overview of the CVD reactors at Fraunhofer ISE are presented. A brief description of the process control in the lab-type reactors concludes this chapter. 3.1 Silicon deposition techniques Today, a large variety of silicon deposition techniques are available. The spread of different methods can be simplified by classifying them according to the silicon source. Physical Vapour Deposition (PVD) is a technique where thermal or e-beam evaporation first transfers solid silicon into gas phase and the gaseous silicon compounds are then deposited on a substrate. When this occurs in a high vacuum the method is referred to as Molecular Beam Epitaxy (MBE). Liquid Phase Epitaxy (LPE) is a method using a silicon-saturated metal solvent. When the silicon substrate is introduced into the super-saturated liquid at temperatures between 700 C and 900 C, epitaxial growth occurs on the surface with a growth rate up to 1 µm/min [14]. Laboratory type solar cells show good performance [38], but industrial application is challenging as uniform topology over large areas is very difficult with LPE [14, 38]. Another very common deposition technique is Chemical Vapour Deposition (CVD), where a solid film is deposited from a gaseous phase via a chemical reaction. The technique is further classified according to the decomposition from the gaseous phase to the reaction educts. Plasma Enhanced CVD (PECVD) relies on the formation of excited species by the plasma which lower the activation energy required for the dissociation of the precursor gas [14, 38]. The

14 Silicon deposition by Chemical Vapour Deposition (CVD) plasma is often produced by radio frequency. An alternative method is the Hot- Wire CVD, where a silicon source is decomposed by a catalyst, often a tungsten or tantalum wire. In thermal CVD, the gas dissociation is achieved using only heat, either in the gas or at the substrate surface. Thermal CVD is then divided into different pressure regimes with appropriately various temperatures. Reduced pressure (RPCVD) operates at pressures between 10 3-10 4 Pa, whereas low pressure (LPCVD) operates at about 10-100 Pa and ultra-high vacuum CVD (UHV-CVD) at 10-1 -10-3 Pa. CVD reactors operating at atmospheric pressure (APCVD) (10 5 Pa) need higher temperatures (950-1250 C) for epitaxy processes than reactors using lower pressure regimes. High-temperature CVD deposition is our technique of choice. It has the advantages of very high deposition rates and growth at atmospheric pressure which simplifies the process procedure. APCVD reactors are commonly used in microelectronics and the chemistry is therefore well understood. The gas-handling, waste-gas treatment and process chemistry are state-of-the-art. 3.2 Principle of thermal atmospheric pressure CVD The most common CVD processes for silicon epitaxy are based on the hydrogen reduction of chlorosilane. In this thesis the focus lies on CVD deposition with Trichlorosilane (TCS) or Silicontetrachloride (STC) as precursor. Figure 3-1 shows schematically the individual process steps that take place during the deposition: The mass transport of the main gas flow into the deposition zone (A), the gas phase reactions (B), the mass transport of the precursors to the growth surface (C), the adsorption of the precursor to the growth surface (D), the surface diffusion to the growth sites (E), the incorporation of the silicon to the growing film (F), the desorption of the byproducts of the surface reactions (G) and the mass transport of the byproducts into the main gas flow (H) [39]. The type of gas flow, laminar or turbulent, is an important factor for the homogeneity of a deposited layer. The Reynolds number determines the behaviour of the gas depending on the reactor geometry, the gas velocity, the gas density and the gas viscosity. In [39-41] more detailed analyses of the fluiddynamics in a horizontal CVD reactor are given. In the next section the reactions kinetics, the resulting growth rate and the chemical yield are briefly described with emphasis on the doping incorporation. More detailed descriptions can be found in [23, 39, 42-45], among others.

Principle of thermal atmospheric pressure CVD 15 Main gas flow A H G B C D E F Substrate Figure 3-1: Schematic of the partial processes during CVD [39]. 3.2.1 Reaction kinetics for Trichlorosilane and Silicontetrachloride A simplified model of silicon deposition from trichlorosilane (TCS) and silicontetrachloride (STC) is presented by Habuka [44] and by Narusawa [46]. Table 3-1 summarises some of the reactions on the silicon growth surface using TCS or STC as precursor, corresponding to the schematic in Figure 3-1. Gas phase reactions It was found that the concentration of SiCl 2 is lower than that of TCS at the silicon surface [46]. Calculations performed show that at temperatures above 1100 C for any Cl/H ratio, SiCl 2 is the dominating silicon species in the gas phase for both precursor types [44, 47, 48]. The STC and TCS quantities in the gas phase decrease with increasing temperature. The reactions (1) and (5) in Table 3-1 occur at Point B in Figure 3-1. Chemisorption Reactions (1) and (5) can also take place at point C in Figure 3-1, where the SiHCl 3 is chemisorbed and SiCl 2 is adsorbed. The adsorbed SiCl 2 is denoted by an asterisk. In the model proposed by Habuka et al. [44], the gas phase reactions are not taken into account because the thermal decomposition of TCS is assumed to be negligible. They conclude that the chemisorbed *SiCl 2 is the dominant species for the silicon growth and that the surface is covered with *SiCl 2. The species in the gas phase and the elemental processes of the epitaxial growth are stable across a wide temperature range. Decomposition of *SiCl 2 After adsorption, *SiCl 2 is decomposed by hydrogen at the growth surface according to equation (2). The remaining silicon diffuses to a site where incorporation is more favourable. On a plateau region the silicon atoms can only form two bonds to the crystal so they diffuse further along the ledge to a kink site, where an energetically lower binding occurs [41]. The silicon is then incorporated into the crystal. Desorption and etching (3) and (7) are competing reactions to the decomposition of *SiCl 2 and results in the desorption of *SiCl 2. For a high yield,

16 Silicon deposition by Chemical Vapour Deposition (CVD) the rate of *SiCl 2 desorption should be much smaller than that of the chemisorption or silicon etching. Impurity atoms on the surface may react with gaseous species (especially HCl), removing the impurity locally and resulting in a pit. Impurities on the surface may also react with chlorosilanes, in which case the growth is accelerated and results in a hillock on the surface [23]. The total reactions can be described by equation (4) for TCS and equation (6) for STC. Table 3-1: Reaction kinetics of silicon deposition with TCS, STC and silicon etching. Deposition with TCS Deposition with STC Etching Process Gas-phase reaction / Chemisorption of SiHCl 3 Decomposition of * SiCl 2 Desorption Total reaction Reaction * Point in Figure 3-1 SiHCl3 SiCl2 + HCl (3-1) B, D * SiCl2 + H2 Si + 2HCl (3-2) D, F * * SiCl SiCl 2 2 + HCl SiHCl SiCl 2 SiHCl3 + H2 Si + 3HCl (3-4) 3 (3-3) G Gas-phase reaction / SiCl4 + H2 SiHCl3 + HCl (3-5) B, D Chemisorption of SiCl 4 Chemisorption, Decomposition and Desorption analogue to TCS D, F, G Total reaction SiCl4 + H2 Si + 4HCl (3-6) Si + nhcl SiCl n + H2 n 2 (3-7) 3.2.2 Growth rate The growth rate is mainly determined by the temperature, which is shown in Figure 3-2. For low temperatures the kinetics of the surface reaction limit the deposition rate and the growth rate is described by the Arrhenius function as follows: E A growth rate = Aexp(- ), (3-8) k BT where A is a pre-exponential factor, E A is the activation energy, k B is Boltzmann s constant and T is the temperature. However, above a certain limit the surface reaction is faster than the rate at which the reactant species reach the surface. Therefore, at high temperatures the deposition rate is limited by the amount of reactants. The deposition rate increases only slightly with temperature and the process is mass-transport limited.

Principle of thermal atmospheric pressure CVD 17 Growth Rate [µm/min] 4 3 2 1 T [ C] 1100 1050 1000 950 900 mass transport limited surface reaction limited Slope = -E a /k 0.70 0.75 0.80 0.85 0.90 10 3 /T [ K] Figure 3-2: Arrhenius plot of the growth rate. At low temperatures the kinetics of the surface reactions limit the deposition rate. At high temperatures the reaction occurs fast and the growth rate is limited by the mass transport. 3.2.3 Chemical yield The chemical yield refers to the efficiency to convert silicon from the initial gas phase into solid silicon. The initial Si/Cl ratio compared to the exhaust (final) Si/Cl ratio under equilibrium conditions denotes the yield of the silicon deposition or etching. The chemical yield is therefore defined by: ( Si / Cl) final η Si =1. (3-9) ( Si / Cl) initial The initial Si/Cl ratio depends on the silicon precursor and is 0.33 for TCS and 0.25 for STC. If the final Si/Cl ratio exceeds these values then etching occurred during the process, otherwise deposition. In thermal equilibrium the chemical yield can be calculated by the partial pressures of the silicon and chlorine containing species at a given temperature and Cl/H ratio. The lower the Cl/H ratio the larger is the chemical yield at a given temperature. Increasing the temperature results in higher conversion efficiency due to the enhanced reactivity [47]. 3.2.4 Dopant incorporation The dopant incorporation was investigated in detail by [43, 49, 50] for phosphorus and [49-51] for boron, amongst others. In general, dopant incorporation is described similarly to the silicon growth and depends also on the thermal transport and reaction kinetics shown in Figure 3-1. The incorporation can be modelled by the following equation:

18 Silicon deposition by Chemical Vapour Deposition (CVD) 0 22 pdopant Cdopant = 5 10 Keff (T, growth rate) for 0 Keff 1, (3-10) 0 psi where C dopant is the dopant concentration in the silicon layer, K eff the effective segregation coefficient from the gas phase to solid silicon and p 0dopant and p 0Si the partial pressures of the dopant containing gas and silicon precursor, respectively [43]. For a complete incorporation of all atoms reaching the surface K eff is equal to 1. 3.2.4.1 Boron incorporation A common p-type dopant source for many materials and deposition techniques is diborane (B 2 H 6 ). In APCVD it has the advantage that gas phase doping is easily controlled, as diborane can be diluted in hydrogen [23]. Monoatomic boron in the gas phase is negligible, no species such as B 2 or B 4 are present and mainly gaseous subhydrides such as BH 3 exist at high temperatures. Therefore, a good boron incorporation is possible with a low diborane gas flow [49]. The equation describing the reaction kinetics at the silicon surface is as follows [51]: B 2H6 2BH3 2B + 3H2 (3-11) The desorption of boron atoms from the silicon surface is negligible for small diborane vapour pressures. Competitive chemical reactions between boron incorporation and silicon growth are assumed to exist, resulting in a K eff lower than 1. Consequently, the incorporated carrier concentration decreases for increasing silicon growth rates [51]. Boron concentration [cm -3 ] 10 18 B 2 H 6 RTCVD100 B 2 H 6 from [23] 10 17 1100 1150 1200 1250 1300 Temperature [ C] Figure 3-3: Boron incorporation depending on the growth temperature [23, 43, 50].

Principle of thermal atmospheric pressure CVD 19 Dependence on the temperature - Another interesting point is that the boron concentration increases with increasing temperature. This occurs because the segregation coefficient (K eff ) depends on the deposition temperature [43, 52]. Figure 3-3 shows experimental data from Herring [23] and from the RTCVD100 lab-type reactor at ISE for a diborane concentration of 1.2 ppm and STC as precursor. Dependence on the diborane gas flow - The carrier concentration of the boron in epitaxial silicon has a linear relationship to the B 2 H 6 concentration in the process gas [51] and is shown experimentally in Figure 3-4. Experimental data from the literature is compared with values from both RTCVD reactors at ISE (see Sections 3.3.2 and 3.3.3) for STC and TCS precursors. The incorporation of boron remains linear up to a concentration of 1x10 20 cm -3, but decreases after a peak value near a diborane concentration of 500 ppm [49]. The solubility limit of boron at 1200 C in silicon is about 5x10 20 cm -3 [53], but such high values were not experimentally reported in the literature nor measured at ISE. The reason for the decrease at higher diborane partial pressures is that boron-containing species begin to condense and do not contribute to doping of the epitaxial layer [23]. This reduction could not be observed for the data from ISE. In general, the carrier density deviates by more than one order of magnitude from the literature data to the ISE experiments for the same precursor. Table 3-2 shows the incorporation of boron at 0.1 ppm B 2 H 6 for the different processes. Two effects are primarily responsible: the purity of the gas in terms of oxygen and water content and the amount of chlorine. For phosphorus doping the concentrations are too small to have any influence, but for boron doping, the effect of the impurities cannot be neglected [43]. The following reaction takes place in the presence of water: BH 3 + 2H 2O BHO2 + 3H 2. (3-12) The boron bound to water is then not incorporated into the epitaxial layer and the total incorporation is thereby lowered for higher oxygen backgrounds. The oxygen content in the commercial reactors is about 0.5-1 ppm [23, 43], whereas it is higher (6 ppm to 20 ppm) in the reactors at ISE (Table 3-2). Analogous results are found to be dependent on the HCl content in the gas, i.e. Cl/H ratios: BH + 3 3HCl BCl3 3H2 +. (3-13)

20 Silicon deposition by Chemical Vapour Deposition (CVD) The formation of BCl 3 decreases the free boron content [43]. It is assumed that Rai-Choudhury [49, 54], as well as Habuka [51], used commercial reactors with very low Cl/H ratios between 0.01-0.05. In contrast, the CVD reactors at ISE have Cl/H ratios of 0.1 and 0.75 for the RTCVD160 and RTCVD100, respectively. The amount of chlorine is therefore higher for the RTCVDs, repressing the incorporation of boron. The lower doping for TCS as compared to STC in the RTCVD100 can be similarly explained. Furthermore, in the equilibrium calculations it is assumed that the amount of injected gases are the same as on the surface [43], but the mass transport differs for different reactor types and the calculations are not always applicable. In addition, the inserted gas is diluted with hydrogen gas due to the geometries of the RTCVD reactors. Carrier density [cm -3 ] 10 20 10 19 10 18 10 17 10 16 10 15 Rai-Choudhury (STC, 1230 C) Habuka (TCS, 950 C) RTCVD160 (TCS, 1170 C) RTCVD100 (TCS, 1220 C) RTCVD100 (STC, 1200 C) 10 14 10-4 10-3 10-2 10-1 10 0 10 1 10 2 10 3 Diborane [ppm] Figure 3-4: Boron concentration depending on diborane concentration [49, 51]. Deposition with TCS Table 3-2: Boron incorporation at 0.1 ppm B 2 H 6 corresponding to Figure 3-4. Temp [ C] O 2 background [ppm] Cl/H ratio Incorporation at 0.1 ppm B 2 H 6 [cm -3 ] Reference / reactor 950 0.5 1 [43] 0.01-0.05 1.2x10 18 Habuka [51] 1190 6 0.1 1.2x10 17 RTCVD160 1220 20 0.75 4.0x10 16 RTCVD100 Deposition 1230 0.5 1 [43] 0.01-0.05 3.0x10 19 Rai-Choudhury [49] with STC 1200 20 0.1 3.2x10 17 RTCVD100

Principle of thermal atmospheric pressure CVD 21 3.2.4.2 Phosphorus incorporation With the exception of heavy doping dependence, the results of n-type doping are similar to the p-type doping [49]. But in contrast to boron, the phosphorus incorporation has a stronger temperature dependence and is better incorporated at lower temperatures. This can be explained, as phosphorus tends extremely to out-diffuse [55]. The following observations are well described in [43] and [41]. They are summarised here and are highlighted with experimental results from the larger lab-type reactor at Fraunhofer ISE. P concentration [cm -3 ] 10 19 10 18 1070 C 1150 C 1230 C 0.1 1 10 Growth rate [µm/min] Figure 3-5. Phosphorus incorporation versus growth rate at three temperatures [43]. P concentration [cm -3 ] 10 18 10 17 1100 1150 1200 1250 1300 Temperature [ C] Figure 3-6: Phosphorus incorporation depending on the growth temperature [23, 43, 50]: Dependence of the growth rate and temperature Figure 3-5 shows the phosphorus incorporation depending of the growth rate and temperature (data from [43]). The higher the temperature, the higher the out-diffusion of phosphorus, which inhibits a high incorporation of phosphorus at any growth rate (Figure 3-6). At low temperatures an increase of the growth rate results in a higher phosphorus concentration. The reason is that a high concentration of the gaseous phosphorus is present at the silicon surface and the out-diffusion of the incorporated phosphous is slower than the growth process. With further increase of the growth rates the phophorus incorporation decreases at all temperatures since all phosphorus atoms are incorporated. Therefore, the partial pressure near the surface decreases and no equilibrium between the phosphorus in the solid and the vapour is present [56]. In general, phosphorus doping tends to lower the silicon growth rate by competition for surface sites [45]. Dependence on the phosphine gas flow Figure 3-7 shows a double logarithmic plot of the phosphorus incorporation depending on the injected phosphine concentration. Three different regimes are observed, where the slope

22 Silicon deposition by Chemical Vapour Deposition (CVD) changes from 1 at low and intermediate PH 3 input pressures, to ½ and even ¼ at high input pressures. The first change in slope can be explained by a change in the composition of the gas phase in contact with the surface, the second change is connected to a transition from intrinsic to extrinsic growth conditions. For all partial pressures the incorporation of phosphorus is assumed to occur only with monoatomic phosphorus. At low PH 3 partial pressures mainly PH 3 and PH 2 are present in the gas phase: 0 p PH p 3 PH + p 2 PH3 = (3-14) The composition of the gas changes when it comes into contact with the surface and the following reactions occur: 3 2 2 3 + 2 PH P + H and PH P H2. (3-15) The partial pressure of phosphine is directly proportional to the partial pressure of the incorporated phosphorus. Therefore, a slope of 1 results in the double logarithmic plot. p = const. p log(p ) = 1 log(p ) log(const.) (3-16) 0 PH + 3 P PH3 PSi At higher PH 3 partial pressures, mostly P 2 is present in the gas phase: p = 2 p (3-17) 0 PH 3 P2 The diphosphorus decomposes to atomic phosphorus at the surface: P 2 2 P (3-18) The partial pressure of phosphine is therefore proportional to the power of two of the phosphorus partial pressure. This results in a slope of ½ in the log-log plot: 2 0 0 pph = const. p log(p ) log(p ) 3 P P = 2 PH (3-19) 3 For moderate doping concentration the incorporated phosphorus is ionised at growth temperature. However, at temperatures above 1130 C the intrinsic concentrations for electrons and holes are in the order of 10 19 and 10 20 cm -3, respectively. As long as the phosphorus concentration is low, the electron concentration is determined by the intrinsic concentration and the ionised phosphorus concentration is proportional to the phosphine partial pressure. When the phosphorus concentration is larger than the intrinsic concentration, the number of electrons is determined by the number of ionised phosphorus atoms. 1