CAD OF ELECTRONICS LAB MANUAL (EEC-653)

Similar documents
Unit/Standard Number. High School Graduation Years 2010, 2011 and 2012

Gates, Circuits, and Boolean Algebra

Content Map For Career & Technology

LABORATORY 2 THE DIFFERENTIAL AMPLIFIER

DEGREE: Bachelor in Biomedical Engineering YEAR: 2 TERM: 2 WEEKLY PLANNING

Diode Applications. by Kenneth A. Kuhn Sept. 1, This note illustrates some common applications of diodes.

See Horenstein 4.3 and 4.4

The full wave rectifier consists of two diodes and a resister as shown in Figure

Lab #9: AC Steady State Analysis

if-then else : 2-1 mux mux: process (A, B, Select) begin if (select= 1 ) then Z <= A; else Z <= B; end if; end process;

Study Guide for the Electronics Technician Pre-Employment Examination

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER

Guru Ghasidas Vishwavidyalaya, Bilaspur (C.G.) Institute of Technology. Electronics & Communication Engineering. B.

Lab 1 Diode Characteristics

COMBINATIONAL CIRCUITS

DIODE CIRCUITS LABORATORY. Fig. 8.1a Fig 8.1b

3 The TTL NAND Gate. Fig. 3.1 Multiple Input Emitter Structure of TTL

REPORT ON CANDIDATES WORK IN THE CARIBBEAN ADVANCED PROFICIENCY EXAMINATION MAY/JUNE 2008 ELECTRICAL AND ELECTRONIC TECHNOLOGY (TRINIDAD AND TOBAGO)

Fundamentals of Power Electronics. Robert W. Erickson University of Colorado, Boulder

ANALOG & DIGITAL ELECTRONICS

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1.

Common-Emitter Amplifier

Laboratory 4: Feedback and Compensation

Basic Electronics Prof. Dr. Chitralekha Mahanta Department of Electronics and Communication Engineering Indian Institute of Technology, Guwahati

The 2N3393 Bipolar Junction Transistor

Pulse Width Modulation (PWM) LED Dimmer Circuit. Using a 555 Timer Chip

Physics 623 Transistor Characteristics and Single Transistor Amplifier Sept. 13, 2006

Diode Applications. As we have already seen the diode can act as a switch Forward biased or reverse biased - On or Off.

ECE 3401 Lecture 7. Concurrent Statements & Sequential Statements (Process)

Experiment # (4) AM Demodulator

Transistor Characteristics and Single Transistor Amplifier Sept. 8, 1997

Lecture - 4 Diode Rectifier Circuits

Transistor Amplifiers

BJT Characteristics and Amplifiers

Experiment 2 Diode Applications: Rectifiers

ARRL Morse Code Oscillator, How It Works By: Mark Spencer, WA8SME

EXPERIMENT NUMBER 5 BASIC OSCILLOSCOPE OPERATIONS

POWER SUPPLY MODEL XP-15. Instruction Manual ELENCO

IGBT Protection in AC or BLDC Motor Drives by Toshio Takahashi

Principles of Adjustable Frequency Drives

Digital Electronics Detailed Outline

ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING

Frequency Response of Filters

VHDL Test Bench Tutorial

Step Response of RC Circuits

Use and Application of Output Limiting Amplifiers (HFA1115, HFA1130, HFA1135)

AN ULTRA-CHEAP GRID CONNECTED INVERTER FOR SMALL SCALE GRID CONNECTION

Computer Aided Design of Home Medical Alert System

Digital Design with VHDL

Upon completion of unit 1.1, students will be able to

CHAPTER 2B: DIODE AND APPLICATIONS. D.Wilcher

13. Diode Rectifiers, Filters, and Power Supplies

Common Base BJT Amplifier Common Collector BJT Amplifier

Lab 7: Operational Amplifiers Part I

Properties of electrical signals

DEPARTMENT OF INFORMATION TECHNLOGY

Lab 5 Operational Amplifiers

UNDERSTANDING POWER FACTOR AND INPUT CURRENT HARMONICS IN SWITCHED MODE POWER SUPPLIES

Final Project Report E3390 Electronic Circuit Design Lab. Automatic Phone-Alert Home Security System

CHAPTER 11: Flip Flops

Chapter 10 Advanced CMOS Circuits

Diode Circuits. Operating in the Reverse Breakdown region. (Zener Diode)

LAB 7 MOSFET CHARACTERISTICS AND APPLICATIONS

Zero voltage drop synthetic rectifier

Application Examples

LAB 12: ACTIVE FILTERS

EET272 Worksheet Week 9

ELABOTrainingsSysteme Aus- und Weiterbildung GmbH. Electrical Engineering Electronics Digital Technology.

LAB4: Audio Synthesizer

Improvements of Reliability of Micro Hydro Power Plants in Sri Lanka

Electronics Technology

The D.C Power Supply

CONSTRUCTING A VARIABLE POWER SUPPLY UNIT

Module 11: Conducted Emissions

Fundamentals of Signature Analysis

Figure 1. Diode circuit model

Bipolar Transistor Amplifiers

Lecture 18: Common Emitter Amplifier. Maximum Efficiency of Class A Amplifiers. Transformer Coupled Loads.

Chapter 3. Diodes and Applications. Introduction [5], [6]

Precision Diode Rectifiers

Lab Report No.1 // Diodes: A Regulated DC Power Supply Omar X. Avelar Omar de la Mora Diego I. Romero

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng

Thyristor & Power Control Circuits

Bridgeless PFC Implementation Using One Cycle Control Technique

School of Engineering Department of Electrical and Computer Engineering

FORDHAM UNIVERSITY CISC Dept. of Computer and Info. Science Spring, Lab 2. The Full-Adder

Modeling and Analysis of DC Link Bus Capacitor and Inductor Heating Effect on AC Drives (Part I)

Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits

ECE124 Digital Circuits and Systems Page 1

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

LABORATORY 10 TIME AVERAGES, RMS VALUES AND THE BRIDGE RECTIFIER. Bridge Rectifier

Lab 1: Introduction to PSpice

AMZ-FX Guitar effects. (2007) Mosfet Body Diodes. Accessed 22/12/09.

GLOLAB Two Wire Stepper Motor Positioner

Relationship between large subject matter areas

Apprentice Telecommunications Technician Test (CTT) Study Guide

= V peak 2 = 0.707V peak

Panasonic Microwave Oven Inverter HV Power Supply

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Transcription:

CAD OF ELECTRONICS LAB MANUAL (EEC-653) DEPARTMENT OF ELECTRONICS&COMMUNICATION ENGINEERING 27, Knowledge Park-III, Greater Noida, (U.P.) Phone : 0120-2323854-58 website :- www.dronacharya.info 1 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

CONTENTS S.NO. TITLE PAGE NO. 1. Syllabus for EEC-553 Lab. 3 2. Study and Evaluation Scheme 4 3. List of Experiments 5 PSPICE EXPERIMENTS 4. Experiment No. 1 6 5. Experiment No. 2 9 6. Experiment No. 3 12 7. Experiment No. 4 16 VHDL EXPERIMENTS 8. Experiment No. 1 18 9. Experiment No. 2... 20 10. Experiment No. 3 22 11. Experiment No. 4 25 2 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

SYLLABUS (AS PER PRESCRIBED BY MTU, NOIDA.) EEC-553 CAD OF ELECTRONICS LABL T P PSPICE EXPERIMENTS 0 0 3 1. To verify the characteristics of Basic Digital Gates. 2. To verify the characteristics of Low pass and High pass filter. 3. Verification of Half Wave and Full-Wave Rectifier. 4. To verify the characteristics of CE Amplifier. VHDL EXPERIMENTS 1. Synthesis and simulation of Full Adder. 2. Synthesis and Simulation of Full Subtractor. 3. Synthesis and Simulation of 3 X 8 Decoder. 4. Synthesis and Simulation of 8 X 1 Multiplexer. 3 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

STUDY AND EVALUATION SCHEME SESSIONAL EVALUATION:- CLASS TEST : 10 MARKS TEACHER S ASSESMENT : 10 MARKS EXTERNAL EXAM : 30 MARKS TOTAL : 50 MARKS 4 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

PSPICE EXPERIMENTS LIST OF EXPERIMENTS 1. To verify the characteristics of Basic Digital Gates. 2. To verify the characteristics of Low pass and High pass filter. 3. Verification of Half Wave and Full-Wave Rectifier. 4. To verify the characteristics of CE Amplifier. VHDL EXPERIMENTS 1. Synthesis and simulation of Full Adder. 2. Synthesis and Simulation of Full Subtractor. 3. Synthesis and Simulation of 3 X 8 Decoder. 4. Synthesis and Simulation of 8 X 1 Multiplexer. 5 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

PSPICE EXPERIMENTS EXPERIMENT NO. 01 AIM: To verify the characteristics of Basic Digital Gates CIRCUIT : You're simulating a circuit, it requires several digital gates, but you don't have a mixed-mode simulator. What to do? One solution involves creating simplified versions of the logic functions. To do this, we look to the NMOS transistor implementation of logic gates where the transistor acts like a voltage-controlled switch. But, instead of the transistor, we'll use the SPICE switch. Just like the transistor, the switch is defined to turn ON when the input voltage goes HI. By placing the these switches in parallel or series, a variety of basic logic functions can come to life. Here's some helpful hints for logic circuit building: FUNCTION OUTPUT AND - Switches in Series OR - Switches in Parallel INVERTED - Pull-Up Resistor NON-INVERTED - Pull-Down Resistor 6 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

THE NAND GATE So let's have a go at simulating the NAND gate. How do you describe its function? When both A and B are HI, the output is LO. Or stated another way - it's the AND function with an inverted output. The Boolean expression looks like The circuit appears below. S1 and S2 in series create the AND function; RL in the pull-up position inverts the output. Defining the NAND gate as a subcircuit makes it easy to insert it into a few locations if you wish. The subcircuit nodes are listed in parenthesis. S1. S1 and S2 are defined by RON = 10 _ and ROFF = 1 M_. Compared to the other resistances in the circuit, these should look like an ideal switches. More on the SPICE switch below. CIRCUIT INSIGHT Simulate the SPICE circuit named LOGIC_SW.CIR. VA and VB create two binary signals that form the sequence 00, 01, 10 and 11. VCC = +5V supplies power to the 7 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

logic gate. Plot the inputs V(1), V(2) and the output V(3). For a clearer view, you might want to plot V(3) in a separate plot window. Does the output go LO when V(1) and V(2) are HI? Note the finite rise and fall times of V(1) and V(2). You may have also noticed that the output V(3) quickly changes when the inputs pass through 2.5 V. This is the approximate logic threshold level defined for the SPICE switches. RESULT: 8 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

EXPERIMENT NO. 02 AIM: To verify the characteristics of Low pass and High pass filter. CIRCUIT: Here s a simple circuit for you to dive into running SPICE simulations and plotting results. What is the purpose of this circuit? Basically it has two roles: to pass the desired low frequency signals and stop the unwanted high frequency signals. CUTOFF FREQUENCY As stated above, the circuit has two roles: to pass the desired low frequency signals and stop the unwanted high frequency signals. But at what frequency does the filter change its behavior from passing the low ones to stopping the high ones. This is called the cut-off frequency. For R1=1k and C1=0.032uF you get fc = 5kHz. Run a simulation. Plot the AC (frequency) sweep results for the output magnitude VM(2) and phase VP(2). What does the magnitude look like before and after 5kHz? SPICE FILE VIN 1 0 AC 1V 9 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

RF 1 2 1.59 CF 2 0 100UF.AC DEC 20 100HZ 100KHZ.PROBE.END After running this in PSpice, we start PROBE, choose "Add" from the "Trace" menu and plot the output voltage. PROBE provides the following graph. HIGH PASS FILTER CIRCUIT : Here s a simple circuit for you to dive into running SPICE simulations and plotting results. 10 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

What is the purpose of this circuit? Basically it has two roles: to pass the desired high frequency signals and stop the unwanted low frequency signals. SPICE FILE Vin 1 0 AC 10V Rf 1 2 4.0 CF 2 3 2.0uF Lf 3 0 127uH.AC DEC 20 100Hz 1MEG.PROBE.END This time we did not use 1V for the input voltage. Therefore, we will need to have PROBE actually divide the input into the output to get the gain. We show this gain in decibels. Notice that the gain below the resonant frequency of 10 khz slopes upward at 40 db/decade. When we plot the phase shift of this filter, we only need to specify the phase angle of the output voltage since the input voltage was specified at 0 degrees. 11 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

EXPERIMENT NO.03 AIM: Verification of Half Wave and Full-Wave Rectifier. RECTIFIER Before the development of silicon semiconductor rectifiers, vacuum tube diodes and copper(i) oxide or selenium rectifier stacks were used. High power rectifiers, such as are used in highvoltage direct current power transmission, now uniformly employ silicon semiconductor devices of various types. These are thyristors or other controlled switching solid-state switches which effectively function as diodes to pass current in only one direction. HALF WAVE RECTIFIER CIRCUIT: In half wave rectification, either the positive or negative half of the AC wave is passed, while the other half is blocked. Because only one half of the input waveform reaches the output, it is very inefficient if used for power transfer. Half-wave rectification can be achieved with a single diode in a one-phase supply, or with three diodes in a three-phase supply. Half wave rectifiers yield a unidirectional but pulsating direct current. SPICE FILE V1 1 0 SIN(0 10V 100HZ) R 1 2 1K DA 0 2 D1.MODEL D1 D.TRAN 0.01MS 20MS 12 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

.PROBE.END MODEL WAVE FORM RESULT: 13 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

FULL WAVE RECTIFIER A full-wave rectifier converts the whole of the input waveform to one of constant polarity (positive or negative) at its output. Full-wave rectification converts both polarities of the input waveform to DC (direct current), and is more efficient. SPICE FILE V1 1 0 SIN(0 10V 100HZ) R 2 3 1K C 2 3 1N D1 1 2 MOD1 D2 0 2 MOD1.MODEL MOD1 D.TRAN 0.01MS 20MS.PROBE.END 14 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

MODEL WAVEFORM: RESULT: 15 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

EXPERIMENT NO. 04 AIM: To verify the characteristics of CE Amplifier. CIRCUIT: The COMMON-EMITTER CONFIGURATION (CE) is the most frequently used configuration in practical amplifier circuits, since it provides good voltage, current, and power gain. The input to the CE is applied to the base-emitter circuit and the output is taken from the collector-emitter circuit, making the emitter the element "common" to both input and output. The CE is set apart from the other configurations, because it is the only configuration that provides a phase reversal between input and output signals. SPICE FILE VIN 1 4 SIN(0 1.5V 2KHZ) VB 4 0 2.3V RL 3 0 15K V1 2 0 15V Q1 2 1 3 MOD1 16 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

.MODEL MOD1 NPN.TRAN 0.02MS 0.78MS.PROBE.END RESULT: 17 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

VHDL EXPERIMENTS EXPERIMANT NO.01 AIM:Synthesis and Simulation of Full Adder. SOFTWARE USED: Xilinx ISE 13.3i BLOCK DIAGRAM TRUTH TABLE VHDL CODE library IEEE; use IEEE.std_logic_1164.all; 18 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

entity Full_ Adder is port ( A: in STD_LOGIC; B : in STD_LOGIC; C in : in STD_LOGIC; C out : out STD_LOGIC; S : out STD_LOGIC ); endfull_adder ; architecture behavioral of Full_Adder is begin s <= A xor B xorc in ; C out <= (A and B) or ((A or B) and C in ); endbehavioural; WAVEFORM 19 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

EXPERIMANT NO.02 AIM:Synthesis and Simulation of Full Subtractor. SOFTWARE USED: Xilinx ISE 13.3i BLOCK DIAGRAM TRUTH TABLE VHDL CODE libraryieee; use ieee.std_logic_1164.all; entityflsub_select is port(a:inbit_vector(2 downto 0); s:out bit_vector(1 downto 0)); endflsub_select; architecturebeh of flsub_select is begin with a select 20 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

s<=("00") when "000", ("11") when "001", ("11") when "010", ("01") when "011", ("10") when "100", ("00") when "101", ("00") when "110", ("11") when "111"; endbeh; WAVEFORM 21 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

EXPERIMANT NO.03 AIM:Synthesis and Simulation of 3 X 8 Decoder. SOFTWARE USED: Xilinx ISE 13.3i BLOCK DIAGRAM: TRUTH TABLE: VHDL CODE: library IEEE; use IEEE.std_logic_1164.all; entity decoder3x8 is port ( g1 : in STD_LOGIC;--g1, g2a_l, g2b_l cascade i/ps g2a_l : in STD_LOGIC; 22 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

g2b_l : in STD_LOGIC; a : in STD_LOGIC_VECTOR (2 downto 0); y_l : out STD_LOGIC_VECTOR (0 to 7) ); end decoder3x8; architecture deco38 of decoder3x8 is begin process (a,g1,g2a_l,g2b_l) begin if (g1 and not g2a_l and not g2b_l)='1'then if a <= "000"then y_l<= "01111111"; elsif a <= "001"then y_l<= "10111111"; elsif a <= "010"then y_l<= "11011111"; elsif a <= "011"then y_l<= "11101111"; elsif a <= "100"then y_l<= "11110111"; elsif a <= "101"then y_l<= "11111011"; elsif a <= "110"then y_l<= "11111101"; elsif a <= "111"then y_l<= "11111110"; else y_ l<= "11111111"; end if; elsey_l<= "11111111"; end if; end process; end deco38; 23 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

WAVEFORMS VIVA QUESTIONS 1. Write the behavioral code for the IC 74x138. 2. Write the VHDL code for the IC 74x138 using CASE statement. 3. Write the VHDL code for the IC 74x138 using WITH statement. 4. Write the VHDL code for the IC 74x138 using WHEN--ELSE statement. 5. Write the structural program for IC 74x138. 6. What does priority encoder mean? 7. How many decoders are needed to construct 4X16 decoder? 8. What is the difference between decoder and encoder? 9. Write the syntax for exit statement? 10. Explain briefly about next statement? 11. How to specify the delay in VHDL program? 12. Write the syntax for component declaration. 24 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

EXPERIMANT NO.04 AIM:Write a VHDL code for IC74151 8x1 multiplexer. SOFTWARE USED: Xilinx ISE 13.3i BLOCK DIAGRAM TRUTH TABLE VHDL CODE library IEEE; use IEEE.std_logic_1164.all; entity mux151 is port ( I :in STD_LOGIC_VECTOR (7 downto 0); --8 i/p lines S :in STD_LOGIC_VECTOR (2 downto 0); --3 data select lines 25 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

en_l:in STD_LOGIC; --active low enable i/p y :out STD_LOGIC --output line ); end mux151; architecture mux151 of mux151 is begin process (I,s,en_l) begin ifen_l='0' then case s is when "000" => y <= I(0); when "001" => y <= I(1); when "010" => y <= I(2); when "011" => y <= I(3); when "100" => y <= I(4); when "101" => y <= I(5); when "110" => y <= I(6); when "111" => y <= I(7); when others=>null; end case; else y <= '0'; --y=0 when en_l=1 end if; end process; end mux151; 26 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,

WAVEFORM VIVA QUESTIONS 1. Write the behavioral code for the IC 74x151. 2. Write the VHDL code for the IC 74x151 using IF statement. 3. Write the VHDL code for the IC 74x151 using WITH statement. 4. Write the VHDL code for the IC 74x151 using WHEN--ELSE statement. 5. Write the structural program for IC 74x151. 6. What is meant by multiplexer? 7. What does demultiplexer mean? 8. How many 8X1 multiplexers are needed to construct 16X1 multiplexer? 9. Compare decoder with demultiplexer? 10. Design a full adder using 8X1 multiplexer? 11. What are the two kinds of subprograms? 12. What are the difference between function and procedure? 13. Explain briefly about subprogram overloading? 27 DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING,