Alan Goodrich *, Peter Hacke *, Qi Wang, Bhushan Sopori, Robert Margolis, Ted James, David Hsu, and Michael Woodhouse *

Size: px
Start display at page:

Download "Alan Goodrich *, Peter Hacke *, Qi Wang, Bhushan Sopori, Robert Margolis, Ted James, David Hsu, and Michael Woodhouse *"

Transcription

1 A Wafer-Based Monocrystalline Silicon Photovoltaics Road Map: Utilizing Known Technical Improvement Opportunities for Further Reductions in Manufacturing Costs Alan Goodrich *, Peter Hacke *, Qi Wang, Bhushan Sopori, Robert Margolis, Ted James, David Hsu, and Michael Woodhouse * The National Renewable Energy Lab 1617 Cole Blvd. Golden, CO USA Abstract: In order to understand the current and potential economics for today s most mature photovoltaic technology, we have engaged in a detailed analysis of manufacturing costs for each node within the wafer-based monocrystalline silicon (c-si) PV module supply chain. We find that numerous pathways exist for further improvements in efficiency that directly lead to further reductions in cost: After considering some alreadyknown technical improvement opportunities and compiling the associated manufacturing costs for each, we project a conceivable pathway for commercial production modules to have a standard power conversion efficiency of 19-23% and to be sold at an ex-factory gate price of $ / W P (DC power, 2011 real U.S. Dollars). As part of that roadmap, we find that the benefit to be contributed by reducing wafer thickness from a standard 180-µm today to the wire sawing limit of 80-µm could be significant at $0.10 to $0.12/ W p with the underlying assumptions that the efficiency will not be compromised and that the challenges in cell processing and module assembly can be overcome (such as maintaining current yields and throughput in commercial-scale production). We assume that the higher efficiency cell architectures must be built upon a foundation of higher quality wafers, which adds cost at the ingot and wafering step either due to lower yields in production when using dopants other than the standard choice of boron (such as gallium or phosphorous), or in additional capital equipment costs associated with removing problematic boron-oxygen pairs. We derive that the additional price premium for making higher quality wafers by using the alternative dopants is a manageable 10-20% above the standard wafer price, and that the price premium for achieving higher lifetimes while retaining boron as the dopant via the Magnetic Czochralski approach could probably be offset due to a higher expected device efficiency. These adjustments to the wafer price are used within estimates of the futurecase price projections for three advanced cell architectures beyond today s standard c-si cell and module, and they are incorporated into a final bill of materials for a complete module assembly facility located within the United States. Corresponding Authors: Alan.Goodrich@nrel.gov; Peter.Hacke@nrel.gov; Michael.Woodhouse@nrel.gov

2 ANALYSIS DISCLAIMER AGREEMENT These cost model results ( Data ) are provided by the National Renewable Energy Laboratory ( NREL ), which is operated by the Alliance for Sustainable Energy LLC ( Alliance ) for the U.S. Department of Energy (the DOE ). It is recognized that disclosure of these Data is provided under the following conditions and warnings: (1) these Data have been prepared for reference purposes only; (2) these Data consist of forecasts, estimates or assumptions made on a best-efforts basis, based upon present expectations; and (3) these Data were prepared with existing information and are subject to change without notice. The names DOE/NREL/ALLIANCE shall not be used in any representation, advertising, publicity or other manner whatsoever to endorse or promote any entity that adopts or uses these Data. DOE/NREL/ALLIANCE shall not provide any support, consulting, training or assistance of any kind with regard to the use of these Data or any updates, revisions or new versions of these Data. YOU AGREE TO INDEMNIFY DOE/NREL/ALLIANCE, AND ITS AFFILIATES, OFFICERS, AGENTS, AND EMPLOYEES AGAINST ANY CLAIM OR DEMAND, INCLUDING REASONABLE ATTORNEYS' FEES, RELATED TO YOUR USE, RELIANCE, OR ADOPTION OF THESE DATA FOR ANY PURPOSE WHATSOEVER. THESE DATA ARE PROVIDED BY DOE/NREL/ALLIANCE "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE EXPRESSLY DISCLAIMED. IN NO EVENT SHALL DOE/NREL/ALLIANCE BE LIABLE FOR ANY SPECIAL, INDIRECT OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES WHATSOEVER, INCLUDING BUT NOT LIMITED TO CLAIMS ASSOCIATED WITH THE LOSS OF DATA OR PROFITS, WHICH MAY RESULT FROM AN ACTION IN CONTRACT, NEGLIGENCE OR OTHER TORTIOUS CLAIM THAT ARISES OUT OF OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THESE DATA. This as-received paper is currently in draft form. The results herein are subject to change at any time and without notice to the reader. 2

3 1. Introduction: With average annual growth rates in excess of 40% over the past decade [1-3], the remarkable success of the PV industry can largely be attributed to the steadfast leadership of wafer-based crystalline silicon. This leadership has been sustained by a combination of three critical comparative advantages: (1) industry leading module-area power conversion efficiencies (to date, monocrystalline silicon has maintained the highest power conversion efficiency for any commercial-scale single junction PV module [4]); (2) an established, highly coveted product bankability for qualified suppliers (a warranty for 80% of original performance after 25 years of service is now standard [5]); and (3) by a consistent ability to offer price-competitive modules against competing technologies, which has been made possible by an ability to reduce costs throughout the c-si supply chain. Historically, most of the cost reductions in the c-si technology have come about due to economies of scale benefits [6]. However, there is a point of diminishing returns when trying to lower costs by simply expanding production capacity. In order to maintain its trajectory of historic cost reductions, innovations that enable higher cell and module efficiencies will be of increasing importance to technology; and while the advanced cell architectures needed to achieve these higher efficiencies require greater initial investment in the capital equipment and starting materials, sufficient gains in efficiency can oftentimes work to offset these added costs. For c-si there are also multiple pathways to lower costs even further through reductions in the cost of producing the polysilicon feedstock, better silicon utilization in wafer fabrication, and through improvements in industrial cell and module assembly processes. Another strategic pathway to realizing these cost offsets is through vertical integration. Driven by regional differences in electricity and labor rates, as well as its still quite small scale, the supply chain for c-si modules has historically been quite disaggregate in that it has been comprised of distinct firms specializing in feedstock, wafers, cells, or modules (see Figure 1). As the PV industry continues to grow, however, vertical integration (and an associated globalization of operations) will play an increasingly important role for this industry as it can provide several significant competitive advantages. Importantly, vertical integration consolidates the transfer of intermediate materials. This is advantageous in that by producing at least some of the 3

4 intermediate products in-house a firm is able to gain leverage over the often volatile, market-driven price demands of suppliers. As a stark illustration, one can consider the effects that polysilicon prices upon manufacturing costs, as this has been one of the most volatile cost inputs for any solar technology. The price for this material went from around $200/ kg in 2007 to highs around $400/ kg in 2008, principally due to the fact that new polysilicon production facilities could not be constructed and qualified quickly enough in order to address the supply-demand imbalances that existed around that time (due, in no small part, to the rapid increase in demand from PV). And while prices did go down from those highs to around $75/ kg in the first quarter of 2011, and then to less than $30/ kg at the beginning of this year, in a game that will be won or lost by pennies-per-watt, the long-term ability of a PV company to more carefully control these costs to a stable level through vertical integration and by careful coordination of capacity expansions between each of the primary steps is likely to be one key strategic pathway for sustaining a competitive edge in the future. Figure 1. The primary steps of the wafer-based silicon PV module supply chain. Of course, in the quest to grow to the scale that is widely hoped for, the long-term goal for the PV industry is that it must become successful enough in its own right so as to not require continued government subsidies. Arriving at such a point would also help to alleviate the wild swings in supply and demand that have been a defining characteristic for this industry since its conception. But to assess the viability of such a thought, it is necessary to fully understand just how high or low the price for modules (and indeed complete systems) can be without compromising the long-term financial viability of the different players that are involved including vertically integrated firms that internally transfer intermediate materials. To that end, it is most important to derive a minimum 4

5 sustainable price for each product within the supply chain based upon a detailed analysis of all necessary inputs rather than trying to formulate an answer while only seeing the fair-market values of the day. In this paper we endeavor to provide a detailed breakdown of the major inputs needed to estimate the current- and full-potential cost and price requirements for c-si modules. In compiling the analysis with our bottoms-up method, we consider the full suite of underlying materials, labor, financing, and capital equipment costs for each step within the supply chain. Assuming all of the inputs for our models are reasonably correct, with this method we are able to provide an insightful comparison between the minimum price needed to sustainably continue PV manufacturing operations and the fair market prices that be gathered from sources such as module and/ or polysilicon price surveys. More importantly, by this same method we can determine how the cost and price requirements for c-si could evolve over time, after gathering the cost parameters associated with a representative technology roadmap. We begin with an overview of our cost modeling methodology and a statement of assumptions behind establishing the minimum sustainable prices for each step in the supply chain. 2. Methods 2.1 Methods for Establishing Minimum Sustainable Product Prices and the Costs of Capital Financing We begin our cost-modeling efforts by establishing a relevant process flow for the manufacturing facility, based upon extensive literature surveys, visits to facilities already in place (when available), and through discussions with company executives and researchers. With the process flows in hand, we then amass the cost-of-ownership considerations for all of the steps. These considerations include the relevant materials and equipment costs; operational cost parameters (including labor content, material yield losses, cycle times, and energy requirements); and the costs of financing the purchase of the land, building, and capital equipment needed to begin production. The labor and electricity cost components are estimated by applying national average rates [7] to the staffing and power requirements provided by equipment suppliers, and the material costs for each step are gathered by contacting the recommended vendors. 5

6 After accounting for the expected throughputs, downtime, etc. for each piece of equipment needed to execute the process flow, the total capital equipment requirements are calculated on the basis of meeting an annual production volume set to meet the full economy-of-scale benefits for that specific step in the supply chain (which we set to be 15,000 metric tonnes per year for polysilicon production, tens of millions of wafers per month in ingots and wafering, and 500 MW p(dc) 2 GW p(dc) of annual production capacity for cell and module assembly). To represent these costs, the total installed equipment for each step is expensed over its assumed useful lifetime, set by an assumed rate of technology obsolescence. For that, we assign a ten-year, straight-line depreciation schedule for the polysilicon and wafering equipment; a five-year, straight-line schedule for cells; and a seven-year, straight-line schedule for modules. The average annual cost of the manufacturing facility building only is also calculated based upon a linear depreciation schedule, with an assumed useful lifetime of 20 years. Dividing the total of these annual depreciation estimates by the targeted annual production volume then provides our depreciation costs on an average per-area or per-watt basis. Within one section of our Microsoft Excel-based models we set up a pro forma discounted cash flow (DCF) for the manufacturing facility. This DCF is a necessary framework for accurately deriving the minimum product price that a company would need in order to generate enough of a profit to satisfy the required rate of return expected from both debt and equity investors. Because it is a DCF, we are able to incorporate crucial inputs such as inflation and taxes into the derivation process; additionally, it presents the ability to apply the five-, seven-, or ten-year Modified Accelerated Cost Recovery System (MACRS) depreciation schedules on the installed capital equipment (in accordance with the assumed useful lifetimes stated above for each step). With the length of the DCF set by these assumed depreciation schedules, and the discount rate set by the required rate of return, the minimum sustainable product price is then derived by an iterative computational process that runs until the net present value returns a value of zero. The required rate of return, or cost of capital, for investors in an enterprise is classically derived from an assessment of the firm s relative risk (generally measured by a parameter called a beta ) and its capital structure, which includes consideration of both the book value of debt (BV D ) and the market value of equity (MV E ). It also requires that 6

7 appropriate values be established for the rates of both debt financing (K D, such as that provided by banks) and equity financing (K E, such as that provided by stockholders). We use the global capital assets pricing model (Global CAPM) to derive these rates and then, by weighting them by their relative contribution to the overall capital structure, arrive at a weighted average cost of capital (WACC) [8, 9]:! MV WACC= E $ # &K E + " BV D +MV E %! BV D $ # &K D (1-T) (1) " BV D +MV E % Where the leverage ratio is the relative amount of debt (i.e., the BV D / (BV D + MV E ) term), and T is the corporate income tax rate. This corporate tax rate and the expected costs for debt and equity financing are naturally dependent upon the country in which the manufacturing takes place. For the sake of brevity, in this paper we limit our scope of WACC (Table 1) and other expected PV manufacturing costs to what would be typical for manufacturing in the United States, where the average effective corporate tax rate is around 28% [10]. If the representative firm is publicly traded, all of the inputs needed for a WACC calculation are updated daily and are available online [11]. (As an important note, we use the long-term beta when estimating K E in the Global CAPM methodology). By surveying the current financial structure for today s noteworthy U.S. c-si players all of them publicly traded we estimate that a WACC of around 8.5% is probably typical for manufacturers located in this country. Looking forward, for several reasons the inputs for the WACC will be expected to change over time for the PV industry because, if the costs of PV modules and systems continue to fall and if utilities widely adopt the technology because they view it to be a sensible substitute for their usual choices one should expect that the perceived risk and return requirements for investments into PV companies and installation projects will eventually become more similar to that expected from investments in traditional sources for power generation. In Table 1 we lay out our observed and assumed inputs for the 2011 and long-term cases, after also investigating the capital structure of several conventional energy companies and assuming that their typical WACC structure is representative of what PV might look like over the long-term. 7

8 An additional discussion of how these might vary for a different manufacturing site selection is provided elsewhere [12]. Weighted Average Cost of Capital (WACC) Assumptions Used for Derivations of Minimum Sustainable Prices 2011 Long-Term BV E BV E + BV D Levered Cost of Equity (K E ) 12% 7.5% Leverage Ratio! BV D $ # & " BV E + BV D % Levered Cost of Debt (K D ) 4.5% 4.5% Corporate Tax Rate (T) 28% 28% WACC 8.6% 6.2% Table 1. WACC assumptions for U.S. c-si PV manufacturing. The 2011 WACC is used for the benchmarking technology cases shown throughout this paper; the mature market WACC assumption will be specified within the figure legends or captions when it is used. In the following sections we will provide technical summaries of the underlying processing steps and manufacturing costs for each node within the c-si supply chain. The derived WACC s are critical inclusions to fully understanding the minimum sustainable prices for each step in the c-si supply chain because even the vertical integration strategy does not eliminate the need to sell intermediate products with a margin that is commensurate with meeting a required rate of return. That is, a transfer price must be applied to all input materials within the overall supply chain even when they are transferred within the same company [13]. 8

9 3. Polysilicon feedstock 3.1 The Siemens Production of Polysilicon Chunk The very first step in the fabrication of a silicon wafer is the production of metallurgical grade silicon via the high-temperature reduction of silica (typically from lumpy quartz, not sand) with coke and carbon electrodes in an electric arc furnace: 1900 o C SiO 2 + C Si (mg) + CO 2 The elemental purity of this metallurgical grade silicon which currently sells for around $2.50/ kg is approximately 98%, but the material purity requirement for the most efficient c-si devices is closer to 9N. The most widely used process for the production of the much more pure polysilicon feedstock material is a chemical vapor deposition (CVD) method called the Siemens process, the sequence of which is shown in Figure 2. In order to remove the impurities contained within metallurgical grade silicon, the first step in the Siemens CVD process involves, as an overall intermediate, the production and distillation of trichlorosilane (TCS). Facilities that manufacture more than 2,000 metric tons per annum (mta) of polysilicon generally find it most economical to manufacture their own TCS onsite. The production of extremely pure TCS can be achieved by the oxidation of metallurgical grade silicon with hydrochloric acid at moderate temperatures; most of the impurities that were present within the metallurgical grade Si are then left behind while the TCS is distilled: 300 o C Si (mg) + 3HCl SiHCl 3 (l) + H 2 Eventually, solid polysilicon is produced in a batch process as TCS is hydrogenated on the surface of silicon rods placed inside of large bell jars, or Siemens reactors as they are commonly called. These silicon rods or filaments are made onsite by melting polysilicon as part of the energy intensive Czochralski (Cz) process (as a point of clarification, the next step in the supply chain also involves the Cz pulling of 9

10 ingots, but the filaments used within Siemens reactors are much smaller than the ingots used to make wafers and cells). The as-produced filaments of today are typically cropped into a 7 x 7 x 2500 mm elongated square using slurry-based wire saws. The cropped scrap can be remelted in-house for future Cz pulls, while the approximately 10-15% of the filament removed as sawing or kerf loss has essentially no value. As final steps before the CVD chamber is sealed, the filaments are mechanically shaped to fit the electrical contacts made for each rod and the native oxide is etched off using a dilute aqueous HF solution. Figure 2: Process flow for the production of solar grade silicon feedstock via the Siemens process. 10

11 Electrical current is passed through the resistive silicon filaments until the temperature approaches 1150 o C. This rather high temperature serves to activate the growth of solid polysilicon, Si (ps), on the surface of these rods as a result of the hydrogenation of TCS with an HCl catalyst. The decomposition of trichlorosilane to produce dichlorosilane (SiH 2 Cl 2 ) is one of several side reactions that also occur in the course of this process. Fortunately, this intermediate can also react to make polysilicon, and so even though the TCS stream usually contains 6 to 9% DCS most polysilicon producers choose not to bother separating the two, which leaves the reaction series to most generally be described as follows [14]: SiHCl 3 (l) + H o C Si (ps) + 3HCl 3SiHCl 3 (l) + 3HCl 3SiCl 4 + 3H 2 4SiHCl 3 (l) Si (ps) + 3SiCl 4 + 2H 2 A typical 300-mTA reactor accommodates 48 rods; the Siemens process is stopped once a diameter of around 125 mm is reached for each. Approximately 125 kg of hydrogen is consumed per hour in a reactor of that size and the process is approximately 20% efficient in its use of the TCS for each pass through the chamber. A total processing time of approximately 60 hours per batch is typical, including a total time of around 24 hours for filament placement, oxide etching, and for harvesting of the rods. As final steps, the silicon rods are smashed into chunks and packaged in nitrogen- or argon-filled containers for shipping. In order to drive the reaction sequence towards the production of polysilicon, it is helpful to pump off the H 2 and SiCl 4 as they are produced within the bell jar. Fortunately, these effluents are actually quite useful in that they can be recycled for the production of trichlorosilane that can, of course, be used again in later rounds of polysilicon production. The hydrogenation of silicon tetrachloride, more commonly called the direct chlorination method, is one such pathway: H 2 + SiCl 4 (l) HSiCl 3 + HCl 11

12 Or the H 2 and SiCl 4 can be reacted with metallurgical grade Si in the hydrochlorination process [14]: 2H 2 + 3SiCl 4 (l) + Si(mg) 4HSiCl 3 Generally speaking, the yields for hydrochlorination are more difficult to control and it is a more technically challenging process. Thus, companies with less experience but that do want to quickly scale up and establish a presence in this upstream step of the c-si supply chain are more likely to achieve success with the direct chlorination approach [15]. The direct chlorination method does, however, require nearly double the capital equipment investment and uses significantly more energy (120 kwh/ kg for direct chlorination versus kwh/ kg for hydrochlorination). The most well established polysilicon suppliers, many of whom reside within the U.S., employ the hydrochlorination method, while new entrants most typically rely upon direct chlorination. 3.2 Mechanisms and Considerations for the Fluidized Bed Reaction (FBR) Production of Polysilicon The process of polysilicon production via the Fluidized Bed process is an altogether physically different approach than that of the Siemens process [16]. The end product of the FBR process is also quite different in that polysilicon granules ranging in size from 100 to 1500 µm are produced instead of the much larger chunks [17]. A fluidized bed reactor is a cone shaped vessel in which small crystalline silicon seed particles are suspended by an upward-flowing fluidizing gas, which is typically hydrogen. By some means the particles must be heated to a temperature that is higher than the decomposition temperature of the reactant gas (commonly SiCl 4 or TCS), and once that is achieved purified crystalline silicon layers build up layer-upon-layer onto the fluidized beads. Physically, the fluidization of seed particles is based upon the upward drag force of the gases being approximately equal to the downward gravitational pull on the particle, based upon its mass (W = mg). After reaching a size whereby the weight becomes greater than the upward force of the fluidizing gas, the heavier crystallized Si granules will fall to the bottom of the cone where they are collected. 12

13 There are several advantages to this approach in that it is much more efficient in the overall use of the reactant gases; that it does not require the fabrication, shaping, and placement of crystalline seed filaments; and that it requires significantly less energy (only around 10 kwh/ kg). The material form factor of the FBR granules is also quite advantageous in the subsequent step of melting polysilicon because granules can be continuously fed into Cz pullers to bear up to 10 children ingots per initial charge, versus having to reload polysilicon chunk in single batch processing. However, there are numerous technical challenges in qualifying new FBR facilities in particular in optimizing equipment that can control the heating of the fluidized beads in a controlled manner without losing an important temperature differential between the reaction zone and the walls of the reactor cone [16] and so there are only a handful of companies that have the capability of providing this material. 3.3 Cost Model Results for Polysilicon Production In Figure 3 we show our compiled manufacturing cost model results for the two approaches to polysilicon production most commonly employed within the U.S. The depreciation expense is the largest cost in both cases (as the basis for our calculations, our total calculated capital equipment and facilities investment was $74 per kg of capacity for a U.S. hydrochlorination Siemens-based factory, and $71 per kg of capacity for a U.S. hydrochlorination FBR-based factory). The much greater energy intensity for the Siemens route is responsible for the higher contribution of energy costs that can be seen in the figure. Within the U.S., electricity rates as low as $0.025/ kwh (2011 U. S.) are available for industrial customers located in regions near hydroelectric dams, which is the lowest cost method for generating electricity in this country. Not surprisingly, given the large contribution of energy costs for the Siemens-based process in particular, most polysilicon production within the U.S. occurs near these cheap electricity sources and we take liberties to use the given electricity rate within our calculations. 13

14 Figure 3. Model results for polysilicon production costs and minimum sustainable prices for U. S. based 15,000 mta production facilities with onsite TCS production. The two most commonly employed methods for U.S. polysilicon production Siemens production of polysilicon chunk via the hydrochlorination process and FBR granules are shown, where the minimum sustainable prices were derived from the indicated WACC. The polysilicon and saw wire components correspond to the Cz pulling and shaping of filaments. While many analysts believe that polysilicon producers will be forced to lower long-term contract prices to around $20/ kg as early as this year [18], by our estimates price levels much further below this level would not be sustainable. An increased use of the silicon granules produced via the FBR process may offer a long-term ability to lower polysilicon prices to a range approaching the $15/ kg; however, there is widespread belief within the c-si community that there will be insufficient quantities of this material available for at least the foreseeable future to entirely displace polysilicon chunk because the necessary new facilities are not being built at a sufficient rate. And so, despite numerous apparent cost-savings advantages in its production and later utilization in the Cz process, the extent to which the FBR material will contribute to global polysilicon supply is likely to be limited. By one large polysilicon producer s estimate, its 14

15 contribution to global supplies will likely be limited to around 2% in 2015, 10% in 2020, and 20% in 2030 [19]. After incorporating these estimated FBR contributions, and adjusting minimum sustainable prices in accordance with the mature market WACC, we estimate that the long-term, composite minimum sustainable polysilicon price could be between $16 to $20 per kg (2011 real U.S.) for both U. S. and abroad production locations. Our long-term composite price calculation, based upon the 80/ 20 mix of chunk/ granules, is $17/ kg. 4. The Czochralski Process of Pulling Monocrystalline Silicon Boules, Cropping, and Wafering 4.1 Technical Overview The next step in the supply chain often takes place in a separate location from feedstock production even in the case of vertically integrated firms and consists of melting polysilicon chunk and/ or FBR granules; pulling a Cz boule (or ingot ) from the melt; cropping the crown, tail, and sides of that ingot into a precise shape that minimizes scrap losses; and cross-sectional sawing of the boule into individual wafers. In this section we profile the most widely used processing methods and boule sizes before outlining the cost benefits of changing key wafer product features such as thickness. The Cz boule casting process has fundamentally changed very little over the past several decades for both the integrated circuit and solar industries. This age-old approach to casting an ingot is carried out by immersing a rotating crystalline silicon seed crystal into molten polysilicon and pulling the seed up from the melt. The seed serves the purpose of providing a template for the growth of a single crystal of silicon throughout the bulk of the boule set in length and diameter by the rate of the pull, the amount of polysilicon that can be melted in the melting crucible, the temperature gradient within the crucible, and the rotational velocity of the puller [20]. 15

16 The exact size of an as-produced boule has been carefully selected in order to minimize material losses in the subsequent cropping and wafering steps, and after considering the mechanical fidelity of wafers for all steps through module assembly. If producing today s standard wafer thickness of 180 µm, the typical diameter of an uncropped ingot is 205 mm and the total length is 2159 mm (including the tapered ends of the crown and tail). The final length of a cropped boule is 1729 mm, with a total of 215 mm cut off of the crown and tail, and the cropped boule diameter is 165 mm. From there, the cylindrical shape of the cropped boule is then sawn into the shape of an elongated square brick with rounded corners a so-called pseudo-square shape by cutting off chords of material down the length of the boule. After accounting for the corner losses, the total cross-sectional area of the brick (and, thus, of the pseudo-square shaped wafers used in cell and module assembly) is currently a standard 237 cm 2 with a flat-edge width of 156 mm. Figure 4. Process flow for standard Cz growth of mono-crystalline silicon ingots and subsequent wafering. Typical material losses in production are shown on the outside of the processing steps, where the solid scrap generated through sawing of the Boule crown, tail, and chords is recycled for further ingot pulls (at an assumed yield of 100%), but the kerf loss in wafering is not. The given Capex numbers within each step refer to the associated initial capital equipment expenses divided by the annual production capacity of the facility. 16

17 The bulk scrap that is generated during this shaping process as well as the scrap generated during the cuts of the crown and tail is readily remelted during later rounds of ingot casting (after being chunked and etched in order to remove the native oxides). The Si/ SiO 2 sawdust material that is also generated, however, is not currently known to be recoverable. This sawdust, or kerf loss, remains in the form of a very fine powder that is extremely difficult to mechanically separate from the SiC based slurry used during the cutting process. And even if kerf could be fully recovered, one could not simply mix it with the crown, head, and chord scrap because kerf possesses an intractable concentration of chemical contamination when generated by the standard wire sawing process. With the large number of cuts undertaken to produce all of the wafers that can be taken from a completed boule, it is most unfortunate to wafer manufacturers that this kerf loss is generally unusable today as it is the dominant contributor to the net material loss in this step of the supply chain [21]. A wide range of processing options exist to accomplish the cropping and wafering steps: inner and outer diameter saws, diamond-wire saws, band saws, etc. [22, 23]. The diameter of the selected cutting wire directly affects the amount of the boule that is lost as kerf. Currently, for both the standard slurry-based and diamond-wire sawing options, the typical wire diameter of 120 µm produces 140 µm of kerf loss for each cut. Although diamond-wire is initially more expensive than the standard cutting wire, it does have the advantage of having a slower wire degradation rate. More significantly, it may also provide a future pathway for kerf recycling because the chemical contamination is greatly reduced (diamond-wire uses a simple aqueous solution as the cutting fluid rather than the standard wire cutting solution of SiC in a polyethylene glycol slurry) [24]. As a tradeoff, however, diamond-wire cutting may produce a rougher wafer surface laden with microcracks [25], which must then be rectified at an additional cost during the downstream step of cell processing. 17

18 4.2 Cost Analyses of Ingot Casting and Wafering In Figure 5 we present our aggregated estimates of current and projected costs for producing, cropping, shaping, and wafering monocrystalline silicon ingots. Because a lot of the necessary steps are difficult to automate, a point of note is that a major cost driver for producing wafers is the contribution of labor costs. First, the cropped and shaped bricks must be manually glued to a glass substrate before being placed into the wafering machine. After the brick is cut, the wafers are released from the glass by immersing the entire unit into an aqueous solution designed to dissolve the glue the result is a stack of thin wafers that adhere to each other by virtue of water s surface tension. Separating wet wafers from one another, without incurring high mechanical yield losses in handling, requires a level of dexterity that has thus far been best accomplished by human hands. And so, with relatively high labor rates, this cost would be expected to be significant for a U. S. -based wafering facility. In the figure we carry through our assumption that the increased use of the FBR material could provide a contribution towards enabling sustainable global polysilicon prices around $17/ kg. While it is currently not possible to solely rely upon granules in the Cz pulling of ingots because current equipment configurations require that the larger Siemens-based chunks be present in the initial material loading and melting steps, its increased use within this step of the supply chain could enable a measurable decline in the depreciation expense as it enables the effective uptime of the capital equipment to be improved through semi-continuous feeding. If the full potential of 10 children ingots per initial charge could be achieved, we estimate that the associated savings could work out to around $3 per m 2 of produced wafers relative to the benchmark case of just one ingot per initial charge when using just polysilicon chunk. A wafering firm would, of course, first have to be able to secure sufficient quantities of the granules in order to realize this savings, and it is entirely possible that this could very well be limited to those c-si companies that are vertically integrated. 18

19 Figure 5. Current and projected costs and minimum sustainable prices (in $/ m 2 ) for producing standard wafers via Cz pulling of single crystal silicon ingots and subsequent cropping and wafering. In consideration of the smaller boule diameters needed for thinner wafers, the corresponding changes in wafer area are indicated at the top of the figure. The modeled facility size is set for annual production of 120 million and 480 million wafers in the 2011 and long-term cases, respectively. Waterfall chart to quantify specific cost reduction opportunities (and penalties) for each implemented technology described within the text. The cost of the wire and slurry that is consumed in the standard cutting process is also significant. In Table 1 we highlight the major costs associated with today s standard wire approach and the attractive technology of diamond-wire sawing. With the given inputs, and a presumably negligible difference in capital equipment costs, we derive that the diamond-wire approach may enable an overall cost savings of up to 14%, separate from the additional potential benefit of kerf recycling. 19

20 Cost of Ownership Inputs for Standard Wire versus Diamond-Wire for Cropping and Wafering Monocrystalline Silicon Ingots Standard Wire Diamond-Wire Wire Diameter 120 µm 120 µm Kerf Loss per Cut 140 µm 140 µm Cutting Rate (mm/ min) Cutting Fluid and Cost SiC in PEG $1.40/ kg - $2.00/ kg Water ($ 0.39/ 1000 liters) Wire Cost $2.80/ km $5.60/ km Wire Life (cm 2 of wafers produced per m of wire) Table 2. Cost of ownership considerations for standard and diamond-wire saw approaches for the cropping and wafering of monocrystalline silicon boules. At first thought, the contribution of future wafer costs to module manufacturing should be expected to decline over time as product efficiencies rise and as the wafer thickness is reduced. Indeed it may even seem that this could happen at any time since cell efficiencies greater than 20% have already been demonstrated on extremely thin less than 50 µm monocrystalline silicon substrates [26-28]. Once the thickness of a wafer is reduced from the standard 180 µm to 140 µm, however, with today s understanding of wafer handling the boule diameter (and the final cross-sectional area) of a wire-sawn wafer must be reduced from a standard 205 mm (237 cm 2 ) to 165 mm (155 cm 2 ) in order to maintain acceptable yields in wafering, cell processing, and module assembly. This oftentimes leads to a cost penalty associated with thinner wafers primarily because the kerf loss grows in proportion to the increased number of cuts needed to wafer the longer ingot, because the total yields for all steps in the supply chain might be lower due to more frequent wafer breakages, and due to some additional equipment costs incurred from an effectively lowered throughput in the Cz pullers and in the sawing equipment. 20

21 There appears to be a general consensus that the limit in wafer thickness for a sawn wafer is probably around 80 µm, below which mechanical yield losses would ostensibly become unacceptable for currently known and demonstrated sawing and handling techniques [29]. While the guidelines in boule diameter for wafers below 140 µm thickness are less well known, in our projected cost estimates we utilize an underlying assumption that it will become possible to increase the length of ingots as the diameter is reduced in order to utilize the full capacity of the crucible, although this will ultimately depend upon whether new Cz equipment capable of achieving uniform heating across an increased pull-zone length can be demonstrated. We also assume that the cross-sectional area appropriate for a 140-µm wafer is also appropriate for an 80-µm wafer. There are several approaches that ultimately seek to reduce or eliminate kerf loss altogether. These include ideas such as the epitaxial growth and lift-off of film silicon, cast wafers, laser or ion-based cleaving approaches for wafer separation from a Cz ingot, etc. Each of these approaches would have its own proprietary cost structure and additional considerations for how they would integrate into the overall c-si supply chain. Without attempting to incorporate all of the specifics for every conceivable approach, our long-term or best-case wafering cost estimates are based upon a mass balance assumption that the complete elimination or complete reclamation of kerf loss can be achieved, but without increases in the equipment-, labor-, materials-, or facilities-related expenses. Assuming this becomes possible at some point in the future, this cost savings could result in an approximately 20% reduction in total wafering costs from the 2011 benchmark. 21

22 5. Cells 5.1 The Standard Monocrystalline Silicon Solar Cell Manufacturing Process In the next step of the supply chain, most c-si solar cell production is currently based upon a very standardized process designed to make cells with the p-/ n- electrical junction on the entire front surface of the wafer and a full-area, aluminum-based surface field on the back [30]. A representative series of the relevant steps are shown below in Figure Test Wafer 2, Saw Damage Removal & Surface Texturization 3. POCl 3 Diffusion 4. PSG Removal & Edge Isolation 15-17% Cells 7. J-V Measurements/Sort 6. Screen Printing: Front Side Ag Metallization, Al BSF, and Ag rear busbars. Co Fire Inks. 5. PECVD of SiN x :H Figure 6. Process flow for the standard screen-printed c-si solar cell. First because wafers are typically received from multiple supply sources and because they can be damaged during sawing and shipping each individual, as-received wafer must be tested in order to assure that it will yield an acceptable cell at the end. A typical contactless method used today is to measure the lifetimes of minority carriers within the wafer (holes in the case of an n-type wafer, electrons in the case of p-type wafer) via the quasi steady-state photoconductance technique. The usual instrument for this measurement is commonly called a Sinton instrument so named because of the pioneering work of Ron Sinton to develop instruments capable of quickly screening cells for this property [31]. An acceptable, stabilized minority carrier lifetime for wafers intended for use in a standard cell is currently around 20 µs; a higher efficiency cell requires lifetimes more on the order of milliseconds [32, 33]. Assuming that a wafer 22

23 possesses an acceptable minority carrier lifetime, it is then passed on to the wet chemical processing steps of saw damage removal and surface texturization. Because the wire sawing process is extremely abrasive, if left untreated the wafer surface would retain a high density of dangling bonds and other defects that would actively serve to accelerate electron-hole recombination in an illuminated cell. The cutting process also introduces microcracks below the surface of the wafer, which severely compromise its resilience to breakage during handling in cell processing [22]. As a first step to ameliorating these problems, an aqueous chemical treatment (typically NaOH or KOH) is utilized to etch away between 5-15 µm of saw damage from the top surface of the wafer. With the alkali metal as a spectator ion, the etching reaction proceeds as follows [34]: Si + 2 H 2 O + OH - HSiO H 2 The etch rate of this chemical reaction is different for different crystallographic orientations. These anisotropic differences in etch rates produce a wafer surface with randomly distributed small pyramids having a square base; fortuitously, these pyramids provide the foundation for front-surface light trapping [35]. At the conclusion of this wet bench chemical processing step, the surface recombination velocity will have been reduced by several orders of magnitude and the surface is ready for the formation of the p-/ n- junction. In a well-designed solar cell, the internal electric field profile should work to usher the movement of all photogenerated electrons and holes towards their appropriate electrical contacts. This is typically achieved by forming a p-/ n- junction within the most photoactive region. The standard c-si solar cell is typically made with a boron doped (p-type) base wafer. The formation of the n-doped region called the emitter is formed over the entire topside of the wafer as the doping characteristics are inverted from p- type into n- type by the high-temperature drive-in of phosphorous [36]. In this step the wafers are exposed to phosphorous oxychloride (POCl 3 ) gas within a quartz tube furnace heated to between o C (a rather high temperature that is necessary for activation of the phosphorous diffusion). 23

24 During cooling the surface of a POCl 3 treated wafer becomes glassy and it possesses a higher concentration of carbon, transition metals, and other undesired impurities than the base by virtue of gettering, whereby the impurities aggregate within the phosphosilicate glass (PSG) layer because their solubility is higher in that material. Because this glassy layer makes it is difficult to make a good electrical contact to the bulk silicon, it is necessary to include a processing step for removal of a PSG layer. To do this, an HF dip is typically used for an initial etch, followed by a chemical bath treatment in an aqueous solution of HF, HNO 3, and H 2 SO 4. So that only one p-/ n- junction is formed within the solar cell, this chemical treatment is also used to remove the shallow phosphorous diffusion that creeps into the wafer backside, which is still present even though it was only the topside that is ostensibly exposed within the tube furnace [37]. While the random pyramidal surface texture produced from saw damage removal is helpful, the loss in photocurrent from light reflection can be reduced even further by the deposition of an antireflection (AR) coating. As a standard material, hydrogenated silicon nitride (SiN x :H) is able to serve this purpose as it possesses a different index of refraction than that of silicon; additionally, the hydrogen within SiN x :H that is released during a later firing step is able to provide additional surface and bulk electrical passivation beyond the alkaline etch treatment [38-40]. The plasma-enhanced chemical vapor deposition (PECVD) approach is currently the most widely employed method within the PV industry for depositing SiN x :H. In this process, the AR coating is formed during the plasma-activated reaction between silane (SiH 4 ) and ammonia (NH 3 ) gases that are introduced to the PECVD chamber. The screen printing of Ag and Al pastes for the formation of the front and rear electrical contacts a consistently more cost effective approach than vacuum-based metallization approaches such as evaporation or sputtering has been in use by the c-si industrial community since as early as 1975 [41]. In this process, a conveyer belt moves c-si wafers along a queue where they are picked up (either by a robotic or human arm) and placed onto a printing table. An H-pattern screen mounted in an aluminum frame is overlain on the frontside of the cell and the metallization paste is squeegeed over the wafer surface with a defined pressure. This handling and printing process can be repeated at an impressive net rate of 2 to 3 seconds per wafer in today s screen printers, including time for wafer placement and removal. After front-side screen-printing, the 24

25 wafers are moved into a drying furnace. Lead borosilicate glass frit (PbO-B 2 O 3 -SiO 2 ) within the Ag paste then etches through the SiN x :H layer during this firing process in order to form a direct electrical contact with the underlying emitter region, and the wafer is then moved on to the next table for printing of the rear side Al paste. The specific choice of Al for the backside metal is a strategic one. After driving off the binders within the paste, this metal is known to produce a back surface field (BSF) within a standard cell by establishing a more heavily doped (p + ) region near the Al-Si interface [42, 43]. This Al BSF creates a potential energy barrier for electron-hole recombination on the standard wafer back surface, as well as providing a driving force for injection of (ground-state) minority carrier electrons back into the device. An Al backside can also provide a benefit albeit not as great as some other options used in more advanced cell architectures as a reflector of light off of the back surface [30]. A final screen-printing of Ag or Al/Ag busbars, later soldered to tabbing ribbons in the series interconnection of cells into modules, completes the screen-printing steps. At the end of all three of the printing steps, the entire standard cell assembly is typically fired at around 750 o C in order to drive off the undesired additives used to make the metal paste [44]. The final step in the standard processing approach is to test the current-voltage (J -V) characteristics of each cell that is produced on the line. They are binned according to their current density at maximum power point in order to minimize mismatch losses between cells when they are series-connected within modules. 5.2 Introduction of Potential Pathways to Improve Efficiencies beyond the Standard c-si Cell While the standard approach to cell processing has been the dominant manufacturing strategy for quite some time, it is increasingly clear that in order to remain competitive within the future landscape of PV it will become necessary to lower costs even further. For all steps within the c-si supply chain, as well as at the installed systems level, there is little choice but to call upon gains in efficiency in order to achieve these ends, and it seems that the standard cell process as described above will ultimately 25

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Thin Is In, But Not Too Thin!

Thin Is In, But Not Too Thin! Thin Is In, But Not Too Thin! K.V. Ravi Crystal Solar, Inc. Abstract The trade-off between thick (~170 microns) silicon-based PV and thin (a few microns) film non-silicon and amorphous silicon PV is addressed

More information

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4 Wafer Manufacturing Reading Assignments: Plummer, Chap 3.1~3.4 1 Periodic Table Roman letters give valence of the Elements 2 Why Silicon? First transistor, Shockley, Bardeen, Brattain1947 Made by Germanium

More information

Building-Integrated Photovoltaics (BIPV) in the Residential Section: An Analysis of Installed Rooftop Prices

Building-Integrated Photovoltaics (BIPV) in the Residential Section: An Analysis of Installed Rooftop Prices Building-Integrated Photovoltaics (BIPV) in the Residential Section: An Analysis of Installed Rooftop Prices Ted James, Alan Goodrich, Michael Woodhouse, Robert Margolis, and Sean Ong World Renewable Energy

More information

PV-FZ Silicon Wafers for High Efficiency Solar Cells

PV-FZ Silicon Wafers for High Efficiency Solar Cells Note relaunched January 2014, replacing PV-FZ Silicon Wafers for High Efficiency Solar Cells, September 2010 APPLICATION NOTE PV-FZ Silicon Wafers for High Efficiency Solar Cells PV-FZ monocrystalline

More information

Impact of Materials Prices on Cost of PV Manufacture Part I (Crystalline Silicon)

Impact of Materials Prices on Cost of PV Manufacture Part I (Crystalline Silicon) Impact of Materials Prices on Cost of PV Manufacture Part I (Crystalline Silicon) Nigel Mason SMEET II Workshop, London 27 Feb 2013 content Brief introduction to Solar PV Technologies Part I - Crystalline

More information

Silicon Wafer Solar Cells

Silicon Wafer Solar Cells Silicon Wafer Solar Cells Armin Aberle Solar Energy Research Institute of Singapore (SERIS) National University of Singapore (NUS) April 2009 1 1. PV Some background Photovoltaics (PV): Direct conversion

More information

GRANULAR POLYSILICON TECHNOLOGY

GRANULAR POLYSILICON TECHNOLOGY RENEWABLE ENERGY CORPORATION GRANULAR POLYSILICON TECHNOLOGY Tore Torvund, CEO REC Silicon SNEC 2012 (Shanghai, China) May 17, 2012 Disclaimer This Presentation includes and is based, inter alia, on forward-looking

More information

The Current status of Korean silicon photovoltaic industry and market. 2011. 3.17 Sangwook Park LG Electronics Inc.

The Current status of Korean silicon photovoltaic industry and market. 2011. 3.17 Sangwook Park LG Electronics Inc. The Current status of Korean silicon photovoltaic industry and market 2011. 3.17 Sangwook Park LG Electronics Inc. contents 1.Introduction (World PV Market) 2.Korean PV market 3.Photovoltaics in LG Electronics

More information

Screen Printing For Crystalline Silicon Solar Cells

Screen Printing For Crystalline Silicon Solar Cells Printing For Crystalline Silicon Solar Cells Printing For Crystalline Silicon Solar Cells INTRODUCTION One of the most crucial steps for producing crystalline silicon solar cells is creating the grid of

More information

Figure 10.1. Process flow from starting material to polished wafer.

Figure 10.1. Process flow from starting material to polished wafer. Figure 10.1. Process flow from starting material to polished wafer. 1/11/003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XI 1 Starting material: silicon dioxide (SiO ): pure form of sand (quartzite)

More information

Update on Elkem Solar. Torgeir Ulset VP Sales & Marketing, Elkem Solar Cleantech Agder 24.09.2015

Update on Elkem Solar. Torgeir Ulset VP Sales & Marketing, Elkem Solar Cleantech Agder 24.09.2015 Update on Elkem Solar Torgeir Ulset VP Sales & Marketing, Elkem Solar Cleantech Agder 24.09.2015 Recap : last presentation in this fora Situation at that time : Factory closed more than one year Depressed

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Wafer-based silicon PV technology Status, innovations and outlook

Wafer-based silicon PV technology Status, innovations and outlook Wafer-based silicon PV technology Status, innovations and outlook Wim Sinke ECN Solar Energy, Utrecht University & European PV Technology Platform www.ecn.nl Contents Wafer-based silicon photovoltaics

More information

PV Manufacturing Cost Analysis:

PV Manufacturing Cost Analysis: PV Manufacturing Cost Analysis: Future Cost Reduction Opportunities CESA Member Webinar: Solar PV Manufacturing Costs Alan Goodrich, Michael Woodhouse, Ted James June 22, 2012 NREL is a national laboratory

More information

Photovoltaic Power: Science and Technology Fundamentals

Photovoltaic Power: Science and Technology Fundamentals Photovoltaic Power: Science and Technology Fundamentals Bob Clark-Phelps, Ph.D. Evergreen Solar, Inc. Renewable Energy Seminar, Nov. 2, 2006 Photovoltaic Principle Energy Conduction Band electron Energy

More information

Chapter 1.16: Crystalline Silicon Solar Cells State-of-the-Art and Future Developments

Chapter 1.16: Crystalline Silicon Solar Cells State-of-the-Art and Future Developments Preprint version Final version published as chapter 1.16 in "Comprehensive Renewable Energy", Vol. 1 ISBN: 978-0-08-087873-7, 2012 by Elsevier doi:10.1016/b978-0-08-087872-0.00117-7 Chapter 1.16: Crystalline

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Development and Comparison of Small and Large Area Boron Doped Solar Cells in n-type and p-type Cz-Si

Development and Comparison of Small and Large Area Boron Doped Solar Cells in n-type and p-type Cz-Si Development and Comparison of Small and Large Area Boron Doped Solar s in n-type and p-type Cz-Si Izete Zanesco, Adriano Moehlecke, Jaqueline Ludvig Pinto, and Moussa Ly Solar Energy Technology Nucleus

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Optical Disc and Solar Annual Press/Analyst Conference - March 26, 2010

Optical Disc and Solar Annual Press/Analyst Conference - March 26, 2010 SMART SOLUTIONS TO DRIVE THE FUTURE Optical Disc and Solar Annual Press/Analyst Conference - Dr. - Ing. Stefan Rinck AG Optical Disc & Solar - 2 - Optical Disc - Blu-ray Excellent starting position for

More information

Cell-to-Module Gains and Losses in Crystalline Silicon PV. Andrew Gabor Gabor Photovoltaics Consulting, LLC July 10, 2013 - Intersolar NA

Cell-to-Module Gains and Losses in Crystalline Silicon PV. Andrew Gabor Gabor Photovoltaics Consulting, LLC July 10, 2013 - Intersolar NA Cell-to-Module Gains and Losses in Crystalline Silicon PV Andrew Gabor Gabor Photovoltaics Consulting, LLC July 10, 2013 - Intersolar NA 1 Some material sourced from 2 Outline Background Loss/Gain Types

More information

Industrial n-type solar cells with >20% cell efficiency

Industrial n-type solar cells with >20% cell efficiency Industrial n-type solar cells with >20% cell efficiency I.G. Romijn, J. Anker, A.R. Burgers, A. Gutjahr, B. Heurtault, M. Koppes, E. Kossen, M. Lamers, D.S. Saynova and C.J.J. Tool ECN Solar Energy, P.O.

More information

Aluminum-Silicon Contact Formation Through Narrow Dielectric Openings

Aluminum-Silicon Contact Formation Through Narrow Dielectric Openings Elías Urrejola Davanzo Aluminum-Silicon Contact Formation Through Narrow Dielectric Openings Application To Industrial High Efficiency Rear Passivated Solar Cells Aluminum-Silicon Contact Formation Through

More information

CRYSTAL DEFECTS: Point defects

CRYSTAL DEFECTS: Point defects CRYSTAL DEFECTS: Point defects Figure 10.15. Point defects. (a) Substitutional impurity. (b) Interstitial impurity. (c) Lattice vacancy. (d) Frenkeltype defect. 9 10/11/004 Ettore Vittone- Fisica dei Semiconduttori

More information

North American Stainless

North American Stainless North American Stainless Long Products Stainless Steel Grade Sheet 2205 UNS S2205 EN 1.4462 2304 UNS S2304 EN 1.4362 INTRODUCTION Types 2205 and 2304 are duplex stainless steel grades with a microstructure,

More information

Unraveling the Puzzle that is Solar PV Pollution

Unraveling the Puzzle that is Solar PV Pollution Unraveling the Puzzle that is Solar PV Pollution Clean Production of Solar PV Manufacture in China As its name implies, clean energy, including the use of and conversion of solar power, should be clean.

More information

Advanced materials & solutions for high h temperatures

Advanced materials & solutions for high h temperatures 2010 Advanced materials & solutions for high h temperatures t Mission To engineer innovative solutions for our customers High temperature Corrosion Mechanical wear 2010 From material to engineering solutions

More information

Understanding the p-n Junction by Dr. Alistair Sproul Senior Lecturer in Photovoltaics The Key Centre for Photovoltaic Engineering, UNSW

Understanding the p-n Junction by Dr. Alistair Sproul Senior Lecturer in Photovoltaics The Key Centre for Photovoltaic Engineering, UNSW Understanding the p-n Junction by Dr. Alistair Sproul Senior Lecturer in Photovoltaics The Key Centre for Photovoltaic Engineering, UNSW The p-n junction is the fundamental building block of the electronic

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

High power picosecond lasers enable higher efficiency solar cells.

High power picosecond lasers enable higher efficiency solar cells. White Paper High power picosecond lasers enable higher efficiency solar cells. The combination of high peak power and short wavelength of the latest industrial grade Talisker laser enables higher efficiency

More information

Lapping and Polishing Basics

Lapping and Polishing Basics Lapping and Polishing Basics Applications Laboratory Report 54 Lapping and Polishing 1.0: Introduction Lapping and polishing is a process by which material is precisely removed from a workpiece (or specimen)

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

S Tile : a new player in the photovoltaic market in Europe. Press file

S Tile : a new player in the photovoltaic market in Europe. Press file S Tile : a new player in the photovoltaic market in Europe Press file Feb. 2013 Page 1 sur 11 SUMMARY The company S Tile has been created in 2007 in Poitiers, to develop a new process of solar cell manufacturing.

More information

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar Light management for photovoltaics Ando Kuypers, TNO Program manager Solar Global energy consumption: 500 ExaJoule/Year Solar irradiation on earth sphere: 5.000.000 ExaJoule/year 2 Capturing 0,01% covers

More information

ELG4126: Photovoltaic Materials. Based Partially on Renewable and Efficient Electric Power System, Gilbert M. Masters, Wiely

ELG4126: Photovoltaic Materials. Based Partially on Renewable and Efficient Electric Power System, Gilbert M. Masters, Wiely ELG4126: Photovoltaic Materials Based Partially on Renewable and Efficient Electric Power System, Gilbert M. Masters, Wiely Introduction A material or device that is capable of converting the energy contained

More information

Silicon Dioxide Layer Key to High Efficiency Crystalline Solar Cells

Silicon Dioxide Layer Key to High Efficiency Crystalline Solar Cells 11760 Sorrento Valley Road, Suite E San Diego, CA 92121 858.259.1220 / 858.259.0123 fax www.rasirc.com Silicon Dioxide Layer Key to High Efficiency Crystalline Solar Cells Wet Thermal Oxide Films enable

More information

c- Si Metrology: Perspec;ves from Across the Supply Chain

c- Si Metrology: Perspec;ves from Across the Supply Chain SOLARWORLD AMERICAS 2015 Bjoern Seipel c- Si Metrology: Perspec;ves from Across the Supply Chain Feedstock, crystalliza1on, and wafer PVMC mee;ng 2015, SFO America s largest PV manufacturer since 1975

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Saint-Gobain Ceramic Materials AS DEVELOPMENT IN THE USE OF SIC FOR THE SOLAR INDUSTRY. The University of Agder, June1st 2011

Saint-Gobain Ceramic Materials AS DEVELOPMENT IN THE USE OF SIC FOR THE SOLAR INDUSTRY. The University of Agder, June1st 2011 Saint-Gobain Ceramic Materials AS DEVELOPMENT IN THE USE OF SIC FOR THE SOLAR INDUSTRY The University of Agder, June1st 2011 Small Crystals Great Solutions Consolidated companies in 64 countries Total

More information

Clean, Sustainable Energy from the Sun Now, and for Our Children s Future

Clean, Sustainable Energy from the Sun Now, and for Our Children s Future Clean, Sustainable Energy from the Sun Now, and for Our Children s Future An Industry Leader NovaSolar is an industry leader in manufacturing thin-film silicon based solar panels and constructing large

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

Fundamentals of Photovoltaic Materials

Fundamentals of Photovoltaic Materials Fundamentals of Photovoltaic Materials National Solar Power Reasearch Institute, Inc. 12/21/98-1 - 12/21/98 Introduction Photovoltaics (PV) comprises the technology to convert sunlight directly into electricity.

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

The Status and Outlook for the Photovoltaics Industry. David E. Carlson March 14, 2006

The Status and Outlook for the Photovoltaics Industry. David E. Carlson March 14, 2006 The Status and Outlook for the Photovoltaics Industry David E. Carlson March 14, 2006 Outline of the Talk The PV Market The Major Players Different Types of Solar Cells Field Installations Performance

More information

Computer Simulations of Edge Effects in a Small-Area Mesa N-P Junction Diode

Computer Simulations of Edge Effects in a Small-Area Mesa N-P Junction Diode Computer Simulations of Edge Effects in a Small-Area Mesa N-P Junction Diode Preprint Conference Paper NREL/CP-520-45002 February 2009 J. Appel and B. Sopori National Renewable Energy Laboratory N.M. Ravindra

More information

COMPETITIVE SOLAR TECHNOLOGIES

COMPETITIVE SOLAR TECHNOLOGIES COMPETITIVE SOLAR TECHNOLOGIES June 25, 2008 The eventual market will be driven by the levelized cost of energy. At this early development stage, competition is measured on the basis of technology and

More information

Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration

Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration Andrew C. Rudack 3D Interconnect Metrology and Standards SEMATECH Albany, NY andy.rudack@sematech.org

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

AMMONIA AND UREA PRODUCTION

AMMONIA AND UREA PRODUCTION AMMONIA AND UREA PRODUCTION Urea (NH 2 CONH 2 ) is of great importance to the agriculture industry as a nitrogen-rich fertiliser. In Kapuni, Petrochem manufacture ammonia and then convert the majority

More information

SEM-COM SEALING GLASSES. SEM-COM Company, Inc. 1040 N. Westwood Ave. Toledo, Ohio 43607

SEM-COM SEALING GLASSES. SEM-COM Company, Inc. 1040 N. Westwood Ave. Toledo, Ohio 43607 SEM-COM SEALING GLASSES SEM-COM Company, Inc. 1040 N. Westwood Ave. Toledo, Ohio 43607 Phone : (419) 537-8813 Fax: (419) 537-7054 e-mail: sem-com@sem-com.com www.sem-com.com SEM-COM SEALANTS SEM-COM has

More information

Bifacial Solar Cells: High Efficiency Design, Characterization, Modules and Applications

Bifacial Solar Cells: High Efficiency Design, Characterization, Modules and Applications Bifacial Solar Cells: High Efficiency Design, Characterization, Modules and Applications Claudia Duran Dissertation der Universität Konstanz Tag der mündlichen Prüfung: 06. 09. 2012 1. Referent: Prof.

More information

Crystalline Silicon Terrestrial Photovoltaic Cells Supply Chain Procurement Specification Guideline

Crystalline Silicon Terrestrial Photovoltaic Cells Supply Chain Procurement Specification Guideline Crystalline Silicon Terrestrial Photovoltaic Cells Supply Chain Procurement Specification Guideline A study report prepared for by Mani G. Tamizh-Mani Arizona State University SEMI Workshop ASU Polytechnic,

More information

Good Boards = Results

Good Boards = Results Section 2: Printed Circuit Board Fabrication & Solderability Good Boards = Results Board fabrication is one aspect of the electronics production industry that SMT assembly engineers often know little about.

More information

MORE POWER. A BETTER INVESTMENT.

MORE POWER. A BETTER INVESTMENT. SUNPOWERCORP.COM US HEADQUARTERS SunPower Corporation 3939 N. 1st Street San Jose, California 95134 USA 1-800-SUNPOWER sunpowercorp.com MORE POWER. A BETTER INVESTMENT. Established Incorporated in 1985

More information

Design of inductors and modeling of relevant field intensity

Design of inductors and modeling of relevant field intensity 3. Growth of shaped Si single crystals (FZ) Design of inductors and modeling of relevant field intensity Main cut Schematic of inductor for large square FZ crystals z-component of the field intensity for

More information

Welcome to SCHOTT Solar

Welcome to SCHOTT Solar SolarInnovativ Thüringen Welcome to SCHOTT Solar Europe's largest producer of PV solar electricity components EFG, ein kostengünstiges Produktionsverfahren für Si-Wafer Dr. Ingo A. Schwirtlich SolarInnovativ

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

PV Energy Payback. by Justine Sanchez. Single-Crystalline

PV Energy Payback. by Justine Sanchez. Single-Crystalline Single-Crystalline PV Energy Payback by Justine Sanchez Photovoltaic technology is a fantastic miracle of science that silently converts sunlight into streaming electrons that can be used to do work. While

More information

What is Solar? The word solar is derived from the Latin word sol (the sun, the Roman sun god) and refers to things and methods that relate to the sun.

What is Solar? The word solar is derived from the Latin word sol (the sun, the Roman sun god) and refers to things and methods that relate to the sun. What is Solar? The word solar is derived from the Latin word sol (the sun, the Roman sun god) and refers to things and methods that relate to the sun. What is the solar industry? The solar industry is

More information

States of Matter CHAPTER 10 REVIEW SECTION 1. Name Date Class. Answer the following questions in the space provided.

States of Matter CHAPTER 10 REVIEW SECTION 1. Name Date Class. Answer the following questions in the space provided. CHAPTER 10 REVIEW States of Matter SECTION 1 SHORT ANSWER Answer the following questions in the space provided. 1. Identify whether the descriptions below describe an ideal gas or a real gas. ideal gas

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Solar Energy Systems

Solar Energy Systems Solar Energy Systems Energy Needs Today s global demand for energy is approximately 15 terawatts and is growing rapidly Much of the U.S. energy needs are now satisfied from petroleum (heating, cooling,

More information

SOLAR ELECTRICITY: PROBLEM, CONSTRAINTS AND SOLUTIONS

SOLAR ELECTRICITY: PROBLEM, CONSTRAINTS AND SOLUTIONS SOLAR ELECTRICITY: PROBLEM, CONSTRAINTS AND SOLUTIONS The United States generates over 4,110 TWh of electricity each year, costing $400 billion and emitting 2.5 billion metric tons of carbon dioxide (Yildiz,

More information

Optimization and Modeling. of Photovoltaic Silicon. Crystallization Processes

Optimization and Modeling. of Photovoltaic Silicon. Crystallization Processes ISSCG 14 Dalian August 1-7, 2010 Optimization and Modeling of Photovoltaic Silicon Crystallization Processes Georg Müller Jochen Friedrich Fraunhofer Institute IISB, Erlangen (Germany) 1 Photovoltaic Power

More information

CENTRIFUGAL CASTING. Email: amitjoshi@iitb.ac.in amitjoshi1000@yahoo.ca

CENTRIFUGAL CASTING. Email: amitjoshi@iitb.ac.in amitjoshi1000@yahoo.ca CENTRIFUGAL CASTING Amit M Joshi (B.Engg. Mechanical, A.M.I.Prod.E, A.I.E) Dept. of Metallurgical Engg. & Material Science, Indian Institute of Technology Bombay, India. Email: amitjoshi@iitb.ac.in amitjoshi1000@yahoo.ca

More information

High Open Circuit Voltage of MQW Amorphous Silicon Photovoltaic Structures

High Open Circuit Voltage of MQW Amorphous Silicon Photovoltaic Structures High Open Circuit Voltage of MQW Amorphous Silicon Photovoltaic Structures ARGYRIOS C. VARONIDES Physics and EE Department University of Scranton 800 Linden Street, Scranton PA, 18510 United States Abstract:

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

italtec PRINTED CIRCUITS EQUIPMENT PRINTED CIRCUITS EQUIPMENT Insulator machines Echting machines Special equipment and machines

italtec PRINTED CIRCUITS EQUIPMENT PRINTED CIRCUITS EQUIPMENT Insulator machines Echting machines Special equipment and machines PRINTED CIRCUITS EQUIPMENT PRINTED CIRCUITS EQUIPMENT Insulator machines Echting machines Special equipment and machines On customer request it is possible to supply: Benches for PCB Oven for PCB Chemicals

More information

North American Stainless

North American Stainless North American Stainless Flat Products Stainless Steel Grade Sheet 310S (S31008)/ EN 1.4845 Introduction: SS310 is a highly alloyed austenitic stainless steel designed for elevated-temperature service.

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

The Prospects for Cost Competitive Solar PV Power

The Prospects for Cost Competitive Solar PV Power The Prospects for Cost Competitive Solar PV Power Stefan Reichelstein Graduate School of Business Stanford University September 2012 Introduction Rapid Growth of Solar PV Installations 17 GW of solar PV

More information

CHAPTER 7 THE DEHYDRATION AND SWEETENING OF NATURAL GAS

CHAPTER 7 THE DEHYDRATION AND SWEETENING OF NATURAL GAS CHAPTER 7 THE DEHYDRATION AND SWEETENING OF NATURAL GAS Natural gases either from natural production or storage reservoirs contain water, which condense and form solid gas hydrates to block pipeline flow

More information

Characteristic curves of a solar cell

Characteristic curves of a solar cell Related Topics Semi-conductor, p-n junction, energy-band diagram, Fermi characteristic energy level, diffusion potential, internal resistance, efficiency, photo-conductive effect, acceptors, donors, valence

More information

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING Gim S. Chen, Ismail Kashkoush, and Rich E. Novak AKrion LLC 633 Hedgewood Drive, #15 Allentown, PA 1816, USA ABSTRACT Ozone-based HF chemistry

More information

Balancing chemical reaction equations (stoichiometry)

Balancing chemical reaction equations (stoichiometry) Balancing chemical reaction equations (stoichiometry) This worksheet and all related files are licensed under the Creative Commons Attribution License, version 1.0. To view a copy of this license, visit

More information

R&D from material preparation up to next generation manufacturing: opportunities for local companies

R&D from material preparation up to next generation manufacturing: opportunities for local companies R&D from material preparation up to next generation manufacturing: opportunities for local companies Prof. Christophe Ballif EPFL,IMT, PV-Lab and CSEM CSEM, PV-center 2000 Neuchâtel PV industry Ultra-low

More information

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004 How to Build a Printed Circuit Board 1 This presentation is a work in progress. As methods and processes change it will be updated accordingly. It is intended only as an introduction to the production

More information

Fundamentals of Mass Flow Control

Fundamentals of Mass Flow Control Fundamentals of Mass Flow Control Critical Terminology and Operation Principles for Gas and Liquid MFCs A mass flow controller (MFC) is a closed-loop device that sets, measures, and controls the flow of

More information

histaris Inline Sputtering Systems

histaris Inline Sputtering Systems vistaris histaris Inline Sputtering Systems Inline Sputtering Systems with Vertical Substrate Transport Modular System for Different Applications VISTARIS Sputtering Systems The system with the brand name

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

North American Stainless

North American Stainless Introduction: North American Stainless Flat Products Stainless Steel Grade Sheet 309S (S30908)/ EN1.4833 SS309 is a highly alloyed austenitic stainless steel used for its excellent oxidation resistance,

More information

Basic Properties and Application of Auto Enamels

Basic Properties and Application of Auto Enamels Basic Properties and Application of Auto Enamels Composition of Ceramic Automotive Glass Enamels Ceramic automotive glass colours are glass enamels that fire on to the glass during the bending process

More information

THE IMPACT OF YIELD STRENGTH OF THE INTERCONNECTOR ON THE INTERNAL STRESS OF THE SOLAR CELL WITHIN A MODULE

THE IMPACT OF YIELD STRENGTH OF THE INTERCONNECTOR ON THE INTERNAL STRESS OF THE SOLAR CELL WITHIN A MODULE 5th World Conference on Photovoltaic Energy Conversion, 6-1 September 21, Valencia, Spain THE IMPACT OF YIELD STRENGTH OF THE INTERCONNECTOR ON THE INTERNAL STRESS OF THE SOLAR CELL WITHIN A MODULE Y.

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Lecture 30: Cleanroom design and contamination control

Lecture 30: Cleanroom design and contamination control Lecture 30: Cleanroom design and contamination control Contents 1 Introduction 1 2 Contaminant types 2 2.1 Particles.............................. 2 2.2 Metal ions............................. 4 2.3 Chemicals.............................

More information

Development of High-Speed High-Precision Cooling Plate

Development of High-Speed High-Precision Cooling Plate Hironori Akiba Satoshi Fukuhara Ken-ichi Bandou Hidetoshi Fukuda As the thinning of semiconductor device progresses more remarkably than before, uniformity within silicon wafer comes to be strongly required

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Environmental life-cycle assessment of multicrystalline silicon solar cell modules

Environmental life-cycle assessment of multicrystalline silicon solar cell modules Environmental lifecycle assessment of multicrystalline silicon solar cell modules G.J.M. Phylipsen E.A. Alsema a study by commission of the Netherlands Agency for Energy and the Environment, NOVEM September

More information

It is an important tool to assess factors that affect the bioavailability of a drug from a solid preparartion.

It is an important tool to assess factors that affect the bioavailability of a drug from a solid preparartion. Quality control of tablets Dissolution It is an important tool to assess factors that affect the bioavailability of a drug from a solid preparartion. To ensure that the preparation comply with product

More information

Your ideas. Our technologies.

Your ideas. Our technologies. Your ideas. Our technologies. For more than a decade, 3M has been a trusted supplier of advanced materials for the solar industry. Our broad range of products and technologies is designed to enhance performance,

More information

27th European Photovoltaic Solar Energy Conference and Exhibition ENERGY PAYBACK TIME AND CARBON FOOTPRINT OF ELKEM SOLAR SILICON

27th European Photovoltaic Solar Energy Conference and Exhibition ENERGY PAYBACK TIME AND CARBON FOOTPRINT OF ELKEM SOLAR SILICON ENERGY PAYBACK TIME AND CARBON FOOTPRINT OF ELKEM SOLAR SILICON Ronny Glöckner Elkem Solar AS, Fiskaaveien 100, N-4675 Kristiansand, Norway ronny.glockner@elkem.no Mariska de Wild-Scholten SmartGreenScans,

More information

Long-term performance of photovoltaic modules Artur Skoczek

Long-term performance of photovoltaic modules Artur Skoczek 1 Long-term performance of photovoltaic modules Artur Skoczek 2 The European Solar Test Installation (ESTI) has the primary objective of providing the scientific and technological basis for a sound and

More information

CS257 Introduction to Nanocomputing

CS257 Introduction to Nanocomputing CS257 Introduction to Nanocomputing Overview of Crossbar-Based Computing John E Savage Overview Intro to NW growth methods Chemical vapor deposition and fluidic assembly Nano imprinting Nano stamping Four

More information