Unified Advanced Critical Dimension Scanning Electron Microscope (CD-SEM) Specification for sub-65 nm Technology

Size: px
Start display at page:

Download "Unified Advanced Critical Dimension Scanning Electron Microscope (CD-SEM) Specification for sub-65 nm Technology"

Transcription

1 Unified Advanced Critical Dimension Scanning Electron Microscope (CD-SEM) Specification for sub-65 nm Technology International SEMATECH Manufacturing Initiative

2 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and are servicemarks of SEMATECH, Inc. SEMATECH and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners. 010 International SEMATECH Manufacturing Initiative, Inc.

3 Unified Advanced Critical Dimension Scanning Electron Microscope (CD-SEM) Specification for sub-65 nm Technology International SEMATECH Manufacturing Initiative December 8, 010 Abstract: This report from the LITG440M project is a unified specification for advanced critical dimension scanning electron microscope (CD-SEM) measurement instruments developed by International SEMATECH Manufacturing Initiative () and the National Institute of Standards and Technology (NIST). This specification, intended for the CD-SEM user and supplier community, represents the member companies consensus on CD-SEM requirements. It sets forth methodologies for measuring various metrics for benchmarking and qualifying CD-SEMs and for driving suppliers to meet customer requirements and the International Technology Roadmap for Semiconductors (ITRS). It is also a useful source for developing in-house metrology practices, training new personnel, and providing guidance for writing acceptance specifications. This revision includes 009 ITRS tables, an update on new understanding of photoresist shrinkage, and an improvement to baselining contamination levels during evaluation testing. Keywords: Critical Dimension, Equipment Specifications, Performance Specifications, Scanning Electron Microscopes Authors: Benjamin Bunday (), Bill Banke (IBM, Chas Archie (IBM), Eric Solecky (IBM), Gary Cao (Intel), Carsten Hartig (AD), Amir Azordegan (KLA-Tencor), Michael Postek (NIST), John Villarrubia (NIST), Andras Vladar (NIST), David Joy (ORNL-U Team), Bhanwar Singh (AMD) Approvals: Benjamin Bunday, Author/Project Manager Dilip Patel, Program Manager Joe Draina, Director Laurie Modrey, Technology Transfer Team Leader

4

5 iii Table of Contents 1 EXECUTIVE SUMMARY New Requirements Reproducibility Accuracy Interaction with Sample Performance Matching Pattern Recognition/Stage Navigation Accuracy Throughput Recipe Portability Matching for Tool Fleet Management Instrumentation Outputs Tool Utility and Automation Reliability (CD-SEM Performance and CD-SEM Supplier Performance) Cost of Ownership (COO) Survey of Supplier Specifications Precision and Accuracy of Profile Measurement Precision, Accuracy, and Proper Methodology of Roughness Measurement Sensitivity to Process Change ITRS Precision/Uncertainty Scope Expected Results Final Word...5 INTRODUCTION AMAG Wafer Set First Generation Wafer Set and Benchmark (1999, 50 nm ITRS node) Second Generation Wafers and Benchmark (001, 130 nm ITRS node) Third Generation Wafers and Benchmark [10] [11] (003, 90 nm ITRS node) Fourth Generation Wafers and Evaluations ( , 65 nm and 45 nm ITRS nodes) General Considerations of the Wafer Test PRECISION, REPRODUCIBILITY, AND REPEATABILITY Test Methodology Wafer and Feature Description Measurement Conditions Specifications (and onwards) Uncertainty Definition and ITRS Table Recommended Values for Precision Uncertainty Component Data Analysis Trend Removal Other Concerns with Respect to CD Metrics or Other Metrics for Which Precision is Measured...3

6 iv AMAG Calculation Spreadsheets Auxiliary Tests Carryover Robustness of SEM Autofocus Functions and Stigmation Adjustment Images of Measured Sites Confidence Level of Precision Values ACCURACY AND RESOLUTION Accuracy Testing Image-Shift and Scan-Rotation Measurement Accuracy Corrected Precision, Non-linearity, Slope, and Offset Testing Total Measurement Uncertainty Apparent Beam Width and Asymmetry Resolution and Electron Beam Performance Depth of Focus INTERACTION WITH SAMPLE Contamination Procedure Evaluating e-beam Induced Shrinkage of Photoresists Measuring the Shrinkage During the First Dose with CD-AFM Photoresist Shrinkage Phenomenology Measuring the Carryover of Resist Shrinkage Into Etched Structure Charging Procedure SYSTEM MATCHING Definitions and Assumptions Test Methodology Wafer and Feature Description Procedure Data Analysis Improved Matching Methodology for Simple Two (or more) System Matching Test Which Compensates for Unmitigated Sample Contamination (ABBA Matching Method) Overview of Procedure Data Analysis Summary for Setting up ABBA Methodology Matching on Shrinking Resist Samples AA-AB-BB-BA (Double AAAB) Matching Methodology Grating Matching Methodology Fleet Matching Tool Fleet Matching Evaluation Recommended Values for Matching (FMP) Uncertainty Component Concepts of Fab Matching and World Matching Best Known Methods (BKM) of Matching in Manufacturing...68

7 7 PATTERN RECOGNITION AND NAVIGATION ACCURACY/ REPEATABILITY Pattern Recognition Test Methodology Navigation Accuracy and Repeatability Test Methodology-Stage Movement Mechanism Recipe Portability Matching for Tool Fleet Management Recipe Portability Matching THROUGHPUT Throughput Definition Throughput Specification Throughput Test Methodology Metrics Recommended Throughput Specification SEM OUTPUTS BEYOND CD MEASUREMENT Specifications Raw Line Scan Data Analysis and Monitoring of Instrument Sharpness Total Electron Total Dose for Each Measurement Amount of Signal Smoothing for Each Measurement Detector Efficiency Specification Signal-to-Noise Ratio for Each Measurement Pattern Recognition Error Log Forward/Reverse Scan Option Dark Current Level Magnification Calibration Hysteresis Compensation Comprehensive Timestamps for all Measurements TOOL UTILITY AND AUTOMATION Assist Handling Ease of Use Recipe Creation Recipe Robustness Daily Maintenance/SEM Stability Monitoring Data Synthesis/Output Format/Measurement Types Data Analysis Tools Networking Automatic Recipe Setup Benchmark Metric Survey RELIABILITY CD-SEM Performance and CD-SEM Supplier Performance CD-SEM Performance Benchmark Survey Survey Evaluation COST OF OWNERSHIP Base Unit Configuration Utilities Survey v

8 vi 1.3 Consumables Fab Area Requirement Installation Costs Productivity Evaluation SURVEY OF SUPPLIER SPECIFICATIONS PRECISION AND ACCURACY OF PROFILE MEASUREMENTS Introduction Methodology Analysis Proposed Process Layers ROUGHNESS (LER AND LWR) MEASUREMENT Background About Roughness Measurement Importance of Roughness Measurement Roughness in 003 ITRS Roughness Metrics How to Sample Lines for Roughness Measurement Effect of Noise on Roughness Determination Experimental Summary and Conclusions LER Background: Relationship Between R Wq and R Eq Framework for Roughness Measurement and Validation Process Precision of LER/LWR Measurement Accuracy of LER/LWR Measurement Sampling Error in Roughness Measurement SENSITIVITY TO PROCESS CHANGE ITRS PRECISION/UNCERTAINTY SPECIFICATION DISCUSSION Sampling Error Further Explored Recommended Values for Uncertainty Components CONTOUR METROLOGY Introduction Contour Metrology Definition Contour Metrology Metrics DBM Metrology Structures REFERENCES APPENDIX A DEFINITIONS...15 A.1 Measurement...15 A. Reproducibility...15 A.3 Repeatability...15 A.4 Static Repeatability A.5 Dynamic Repeatability A.6 Precision APPENDIX B RESOLUTION ANALYSIS FOR CD-SEMS B.1 Introduction...154

9 B. Description B..1 Measuring Image Resolution B.. Validity and Reliability of the Result B..3 Preferred Implementation B..4 Signal-to-Noise Ratio B..5 Future Developments B..6 Summary B.3 Listing of the SMART 8 Macro (Freeware code) APPENDIX C DOCUMENT HISTORY AND SECTION CHAMPIONS C.1 History C. Current Status (Last updated December, 007) vii List of Figures Figure 1 Sample Cross-Sections of Benchmark Wafers...8 Figure Sample Cross-Sections of AMAG-5L Resist and Etched Gate Wafers...11 Figure 3 Sample Cross-Section of AMAG-5L Trim-Etched Gates with Sizes Down to 10 nm ( nm node, also applicable to 18 nm node)...11 Figure 4 HAR Contact Holes Etched Into Oxide, Landing on Nitride on Si...13 Figure 5 Various Printed Features in Resist from the Multi-Purpose Metrology Nanoimprint Template...13 Figure 6 Various Printed SEM Resolution Features in Resist from the Multi-Purpose Metrology Nanoimprint Template...13 Figure 7 Mandel Regression of Sample Data Between CD-SEM and CD-AFM...9 Figure 8 Minimum Detectable 3 Non-linearity to 95% Confidence Level Given Equal Input Precisions of TuT and RMS...3 Figure 9 Diagram of ABW Measurements by Wafer Orientation...36 Figure 10 Example Waveform Showing Analysis of Edge Widths for ABW...37 Figure 11 CD-SEM Resolution Samples...40 Figure 1 Shrinkage During First Scan of e-beam on a) Big Line, b) Medium Line, and c) Small Line...49 Figure 13 Final Equilibrium State...49 Figure 14 Stray Dose Phenomenon...53 Figure 15 Sample Images of Post-Etch Shrinkage Carryover Effect...54 Figure 16 Schematic of Grating Measurement Matching...63 Figure 17 AMAG-4L Targets Used in the Pattern Recognition Test in 003 Benchmark...71 Figure 18 Case Patterns in the FEM for 193 nm Resist...71 Figure 19 Case Patterns in the FEM for Etched Poly...7

10 viii Figure 0 Chip Layout of Target Site Arrays for 0 µm, 1000 µm, and Full-Range (40 mm) Movements...73 Figure 1 Layout of mm Fields on a 00 mm Diameter Test Wafer (with 40 mm movements to test full-range stage accuracy and repeatability)...74 Figure Illustration of Measurement in the Stage Navigation Precision Test...76 Figure 3 Example Scatterplot From Navigation Precision Test...77 Figure 4 Illustration of Measurement in the Measurement Image Placement Precision Test...78 Figure 5 Example of Navigation Scatter Plots...80 Figure 6 Extracting Raw Data for Probe Centering Metrics...81 Figure 7 Throughput Methodology Definition...84 Figure 8 Throughput Methodology Definition for Continuous Mode...84 Figure 9 Calculation of Total Cycle Time...85 Figure 30 Highlighting a Possible Structure for a Double-Patterned Feature (showing the level of complexity and the resulting expanded list of descriptive metrics for such a structure) Figure 31 Main Processing Steps for Typical Schemes of Double Patterning [69] Figure 3 Examples of Different Cases of Correlation Figure Different DUV Resist Iso Lines Figure 34 Example of Roughness Metrics...10 Figure 35 Values of the Ratio of Sums in Eq. [60] for Various z and N...15 Figure 36 Influence of Edge Detection Noise on Roughness Measurement...15 Figure 37 Example of Bias and Uncertainty...17 Figure 38 Variation of Integration Time and Edge Detection Algorithm on...13 Figure 39 Variation of Image Resolution (by focus variation) and Edge Detection Algorithm on...13 Figure 40 Long Image (with many linescans) Leads to Lower Uncertainty in PSD Figure 41 Proof-of-Performance of Noise Bias Removal Method for Roughness Measurement Figure 4 Variation of Roughness Over a Large Data Set Figure 43 Visualization of the Sampling Thought Experiment Figure 44 Continuum of CD Variation, Overlaid by Regions of Sensitivities of Different Tool Types...14 Figure 45 Matching of Curved Shape to Rectangular Shape...145

11 ix List of Tables Table 1 Multiple Run Sampling for Precision and Reproducibility Tests...16 Table 006 ITRS for CD Metrology with Precision Metrics...18 Table 3 Lithography Metrology (Wafer) Technology Requirements...19 Table 4 Example of Precision Calculation...3 Table 5 Component Analysis Computations...4 Table 6 F Test for 95% Confidence Level...31 Table 7 Order of Measurements (1 16) for Charging Measurements...56 Table 8 Charge Carryover Balancing in the Matching Procedure...59 Table 9 t Values for Different N...60 Table 10 Sequence of Moves After Aligning on Center Die...75 Table 11 Navigation Specifications...79 Table 1 Throughput Scenarios...8 Table 13 Timing Model (continuous mode without queuing)...84 Table 14 Definition of Throughput Time Metrics...85 Table 15 ITRS Values for LWR Control and Required Metrology Precision Table 16 Properties of (z) and Their Implications...14 Table 17 ITRS LWR Measurement Precision Specifications...18 Table 18 Different Metrology Applications and the Relative Importance of Local CD Variation and Average CD...143

12 x Acknowledgments The SEMATECH CD-SEM Unified Specification has undergone a long-term evolution since its inception in Major revisions were in 000, 00, 004, 005, 006, and 007 [74]; this 010 version is a moderate revision of the documents. The history of the changes can be traced in this acknowledgement section and in the history section at the end of the document. All of those that contributed to previous incarnations of the specification had some influence on this document. Those that directly influenced the current ( ) versions of the CD-SEM Unified Specification through attendance of meetings during its preparation were as follows: Kevin Lensing, Jason Cain, Sean Hannon, Carsten Hartig, Alok Vaid, John Allgair, Joseph Synoradzki, Iraj Emami, and Bhanwar Singh (GLOBALFOUNDRIES, formerly AMD) Bart Rijpers (ASML) Chas Archie, William Banke, Eric Solecky, Matt Sendelbach, and Martha Sanchez (IBM Corporation) Uwe Kramer, Harald Bloess, Richard Dare, Jason Moffet, Thomas Marschner, Peter Reinig, Markus Veldkamp, Manfred Moert, and Christian Schwarz (Infineon/Qimonda) Bryan Rice, Gary Cao, Alexander Starikov, Alan Wong, Rex Eiserer, Jim Clarke, Dilip Patel, Jim Clarke, Chris Deeb, and Michael Grumski (Intel) Ron Remke, Alain Diebold, Jimmy Price, PY Hung, Hal Bogardus, Carole Clester, Michael Bishop, William Chism, Angela Guerry, and Arnie Ford (SEMATECH) Aaron Cordes and Victor Vartanian, John Allgair, Michelle Ivy, Mike Colter, David Schraub, Mark Caldwell, and Marc Kroll (Freescale; formerly known as Motorola) Kye-Weon Kim, Dongchul Ihm, Yun-Jung Jee, Steve English, and Jason Malik (Samsung) David Joy (Oak Ridge National Labs/University of Tennessee) Ronald Dixson, Jack Martinez, George Orji, Ted Vorburger, James Potzick, Michael Postek, Richard Silver, Thom Germer, Michael Cresswell, John Villarrubia, Wen-Li Wu, and Andras Vladár (NIST 1 ) Kevin Han (TSMC) Adolf Belka and Michael Benndorf (Phillips) Chris Gunsaulus (Micron) Brad Thiel, Alain Diebold, and Vasiliki Tileli (CNSE/FRMC) Yuuichiro Yamazaki and Hideaki Abe (Toshiba) Seiji Hama (Renesas) 1 Contribution of the National Institute of Standards and Technology; not subject to copyright.

13 Marylyn Bennett, Christopher Baum, Steven DeMoor, Vladimir Ukraintsev, and Jerry Schlessinger (Texas Instruments) Amir Azordegan, Brian Trafas, Mike Slessor, Joyce Witowski, Saroja Ramamurthi, John Robinson, Bo Su, Opher Har-El, Srinivas Vedula, Mohan Ananth, and Sunil Dessai (KLA-Tencor) Ofer Adan, Nadav Wertzman, Robert Betts, Bob Burkhardt, Ram Peltinov, and John Swyers (Applied Materials; PDC division) Ingrid Peterson (Applied Materials) Alex Danilevsky, Brian Cunningham, Cindy Parker, Shunsuke Koshihara, Kazuyoshi Matsukaze, Keiji Takeuchi, and Lorena Page (Hitachi High Technologies) Paul Knutrud (Soluris; formerly Schlumberger) Farid Askary (Metroboost) Kirk Miller (Veeco Instruments) Vladimir Mancevski and Paul McClure (Xidex Corporation) Benjamin Bunday drafted the 010 version (). Benjamin Bunday drafted the 009 version (# F-ENG), which updates the ITRS tables. These new table values are propagated into various sections to define guideline specs for different metrics. Also, the photoresist shrinkage discussion is updated, the beginning of a definition of best known methods (BKMs) for matching in manufacturing is added, and the discussion of double patterning issues is updated. The matching BKMs arederived from inputs from Benjamin Bunday, Victor Vartanian, and Aaron Cordes of ; Eric Solecky of IBM; Alok Vaid and Carsten Hartig of GLOBALFOUNDRIES; Dongchul Ihm, Yun-Jung Jee, Steve English, and Jason Malik of Samsung; Yuuichiro Yamazaki of Toshiba; Chris Gunsaulus of Micron; Seiji Hama of Renesas; Chris Deeb of Intel; and Brad Theil of CNSE/FMRC. Benjamin Bunday drafted the 008 version (# E-ENG). This version includes minor updates, including the new ITRS uncertainty definition and an update on new understanding of photoresist shrinkage. Benjamin Bunday, Andras Vladar, Bill Banke, Chas Archie, Jim Clarke, Michael Grumski, Jason Cain, Carsten Hartig, Pete Lipscomb, Cindy Parker, and Kye-Weon Kim were key contributors to the 007 version (TTID# D). This version included some changes in the number of sites for throughput and some new procedures (Bunday, inspired by Clarke/Grumski/Parker/Kim/Hartig/ Cain), along with a section discussing challenges posed by double patterning (Bunday) and the advent of contour metrology (Lipscomb/Bunday). Benjamin Bunday, Eric Solecky, John Villarrubia, Bill Banke, Chas Archie, and Bart Rijpers were key contributors to the 006 version (# C-ENG). For the 006 version, inclusions were slight additions to throughput (Solecky), the new section about fleet management and recipe portability (Solecky), and the general discussion at the end about uncertainty, including sampling error, by Bunday but inspired by Rijpers and with Banke, Archie, and Ukraintsev also as key contributors. Benjamin Bunday, Bill Banke, Chas Archie, Gary Cao, Carsten Hartig, Michael Postek, John Villarrubia, Andras Vladár, and Bhanwar Singh were the chief authors of the xi

14 xii revisions (# A-, B-, and C-ENG, respectively). In particular, Bill Banke and Chas Archie donated the complete text for the TMU section under accuracy, Bill Banke, Chas Archie and Eric Solecky of IBM donated the section about fleet matching, Bhanwar Singh wrote the section about automated recipe setup, and Gary Cao and Carsten Hartig provided some of the section for matching and the section about post-etch measurement of ArF resist shrinkage. Benjamin Bunday, John Villarrubia and Andras Vladár wrote the section on roughness measurement. Dr. David Joy of Oak Ridge National Labs and the University of Tennessee added the appendix describing resolution calculation. Amir Azordegan of KLA-Tencor inspired and worked out the mathematical background for the confidence levels in precision values. Benjamin Bunday wrote the other revisions, including the Process Sensitivity test, the navigation matching test, parts of the matching including AA-AB-BB-BA, and he did the general editing. Bill Banke, Chas Archie, Bhanwar Singh, Richard Silver, Gary Cao, John Villarrubia, Andras Vladár, Ron Dixson, John Allgair, Jerry Schlessinger, Kevin Lensing, and Carsten Hartig were active participants in the AMAG teleconferences, and are to be thanked profusely. The AMAG group is a fluid entity, thus several individuals have contributed to this specification since its inception. A brief history of the origin of the specification and the AMAG entity is as follows, conveyed by discussions with Michael Postek of NIST. Discussions with Dianan Nyyssonen (IBM), Mike Postek (NIST), and Andras Vladar (then at HP) began with a discussion of unified treatment of instruments and their specifications as needed by the industry. At that time, Arne Yanoff of Motorola was the SEMATECH assignee in charge of a group of metrologists that met as the AMAG does now. He did an informal survey of the needs of the member companies (spring of 1996). He thought it would be a good idea for that group to have a name to give it visibility and out popped AMAG. It was decided to develop the specification for the CD-SEM first. The entire group gathered and broke up the tasks to various members, synthesizing the first draft that Arne Yanoff and Mike Postek edited, leading to a roll out meeting in San Antonio run by Mike Postek. They received comments from all those present and did some real-time editing. That meeting was taped (the tape should be in the SEMATECH library, but this has not been confirmed). After that meeting, Arne and Mike fully edited the document and turned it into the first paper for SPIE. The authorship of the first paper was deemed to be alphabetical, and so the placement had no bearing on the editorial contributions. The rest is recent history. Other contributors to the original version 1.0/1.1 include Joseph Griffith, AT&T Bell Laboratories; Herschel Marchman, Texas Instruments (currently the University of South Florida); Lumdas Saraf, and IBM Corporation; Neal Sullivan, Digital Equipment Corporation (currently Schlumberger Automated Test Equipment); John McIntosh, Lucent Technologies; and Lee Trimble, Lucent Technologies. They are acknowledged and continuously thanked for their efforts. Dr. Dan Watson of Texas Instruments is also acknowledged and thanked for the statistical support he provided for this work. Version 1. of this specification is a major revision and update to version 1.1, which was published April 5, 001. As version 1.1 created the massive framework for this specification and its underlying philosophy, the creators deserve mention here. The credits for version 1.1 go to John Allgair and Arnold Yanof, Motorola Corporation; Chas Archie and William Banke, IBM Corporation; Hal Bogardus, ; Benjamin Bunday, Conexant (currently ); Bhanwar Singh and Bryan Choo, Advanced Micro Devices; Richard Dare, Lucent; Alain Deleporte and Mauro Vasconi, ST Microelectronics; Rudolf Laubmeier, Infineon; Michael T. Postek and Andras Vladár, National Institute of Standards and Technology (NIST); Chris Raymond, Bio-Rad

15 Laboratories Inc.; Jerry Schlesinger, Texas Instruments, Inc. Many thanks also go to Michael Bishop of for compiling and editing version 1.1. Version 1.5 (00 revision for sub-130 nm metrology) was another major revision. Benjamin Bunday (), Michael Bishop (), and Andras Vladár (NIST) were the chief authors of the draft of this specification. Andras Vladár wrote the section for resolution. Gary Cao of Intel wrote part of the new matching procedure (Section 6.3), and Chas Archie of IBM, Jerry Schlessinger of TI and Alexander Starikov of Intel inspired Section 6.5 about the concept of faband world-matching. Michael Bishop adapted the EPIT group s materials into the text for reliability and synthesized the Cost of Ownership section. Other changes were by Benjamin Bunday, including the Tool Utility and Automation section in its entirety (built on previous work by Alain Deleporte of STMicroelectronics), changes to the precision section (with advice from Jerry Schlessinger), a total rewrite of the navigation section, changes to the contamination section, list of supplier specifications, etc. As noted previously, the tool reliability and supplier performance surveys were derived from the Equipment Productivity Improvement Team group (EPIT) at. AMAG members who contributed to the discussion, amendment, and ratification of the version 1.5 document were Iraj Emami, Sean Hannon, and Bhanwar Singh of Advanced Micro Devices; Richard Dare of Agere; Chas Archie and William Banke of IBM Corporation; Eric Cottrell and Rudolf Laubmeier of Infineon; Gary Cao, Alexander Starikov, and Alan Wong of Intel; Hal Bogardus, William Chism, and Alain Diebold of ; John Allgair, David Benoit, Michelle Ivy, and Ed Theiss of Motorola Corporation; Ron Dixson, Jack Martinez, George Orji, Michael T. Postek, John Villarrubia and Andras Vladár of NIST ; Alain Deleporte and Mauro Vasconi of STMicroelectronics; Ming-Hsin Huang of TSMC; and Marylyn Bennett, Steven DeMoor, and Jerry Schlessinger of Texas Instruments, Inc. Suppliers who also took an active role in discussion of this specification were Mina Menaker and John Swyers of Applied Materials; Alex Danilevsky and Lorena Page of Hitachi (Nissei Sangyo); Amir Azordegan, Yoel Moalem, and Rich Quattrini of KLA-Tencor; Farid Askary of Metroboost; and Robert Brandom of Schlumberger. xiii Contribution of the National Institute of Standards and Technology; not subject to copyright

16

17 1 EXECUTIVE SUMMARY The Advanced Metrology Advisory Group (AMAG), comprising representatives from the SEMATECH member companies, the National Institute of Standards and Technology (NIST), and SEMATECH assignees, has developed a unified specification for an advanced critical dimension scanning electron microscope (CD-SEM) measurement instrument. The current document embodies this common CD-SEM specification. No single CD measurement instrument or technology will give process engineers tools that can provide lithographic and etching CD measurement/control for sub-130 nm manufacturing technology. As linewidths, especially gate widths, have been reduced rapidly to these dimensions, the state-of-the-art tools have had difficulty keeping pace with the extremely stringent requirements in cutting edge device fabrication facilities (fabs). These tight CD control requirements for 65 nm technology and below have been set forth in the International Technology Roadmap for Semiconductors (ITRS) [1]. The consensus among AMAG metrologists is that CD-SEM performance needs to be improved in several areas. The critical areas, addressed in this order, are as follows: Reproducibility Accuracy (including resolution) Interaction with sample Performance matching Pattern recognition/stage navigation accuracy Throughput Instrumentation outputs Tool utility and automation Reliability Cost of ownership (COO) Precision and accuracy of profile measurement Precision, accuracy, and proper methodology of Roughness measurement 1.1 New Requirements This updated specification represents a departure from previous versions in terminology, emphasis [], and raw capability requirements. The significant departures are summarized by performance parameter. A companion set of official AMAG Excel spreadsheets for analyzing data are available upon request from the AMAG chair at SEMATECH Benjamin Bunday, at ben.bunday@ismi.sematech.org

18 1.1.1 Reproducibility The ITRS goal (see Table 3) for uncertainty on etched gates (the strictest uncertainty specifications) is 0.4 nm for 45 nm, 0.3 nm for 3 nm, and 0.5 nm for nm. Precision should be less than half of these values for all components to safely fit under the ITRS uncertainty specification. The current specification, in conformity with ISO literature [3], interprets reproducibility (precision) to be the total budget for CD measurement uncertainty, 4 including repeatability, single tool long-term reproducibility, and matching between CD-SEMs of a given model. The single tool reproducibility is therefore specified to be somewhat smaller than the ITRS specifications. The remainder of the reproducibility budget is reserved for tool matching, accuracy and sample variation. The single tool reproducibility will be tested on wafers that intentionally show the full range of process variations (see Section.1). Also, the Latin square method in past versions of this specification has been deemed obsolete, adding much complication to the testing for minimal extra information Accuracy Although the semiconductor industry does not yet have traceable linewidth standards relevant to the kinds of features in large-scale integration (VLSI) fabrication, this specification identifies the attributes of an accurate measurement system that must be evaluated: beam steering accuracy, linearity and sensitivity testing of working algorithms, analysis of instrument sharpness, and the apparent beam width (ABW). ABW is a measure of the practical resolution using a steep-walled non-shrinking photoresist and/or poly-si samples. The spec is < 8 nm on a poly sample at the 45 nm node. Another metric for measuring image resolution is also included. The Mandel correlation is the standard regression to be used when comparing a tool-under-test (TuT) to reference measurements, as it allows for the errors in both tools. Total measurement uncertainty (TMU) is the result of taking the Mandel fit to its logical conclusion, and is rigorously introduced here. Recent improvements in linewidth standards have been made, that allow for a CD atomic force microscopy (CD-AFM) to be used as a reference measurement system (RMS) with ~1 nm traceability. This is discussed in more detail in the main text Interaction with Sample Charging, contamination, and photoresist shrinkage due to target chemical bonding reorganization caused by primary e-beam activation are viewed as major issues preventing accurate metrology. Methodologies are described for characterizing the contamination rate, including new 010 specifications for baselining and checking contamination throughout an evaluation, and the effects of charging as a function of dose rate. Methods for measuring resist shrinkage effects, including the shrink during first e-beam exposure, are shown, with new additions representing the extensive knowledge gained through the photoresist shrinkage modeling project. Although there are no specified limits, the specification requires benchmarking and improvement of CD-SEMs. 4 Note that the term uncertainty in this document is being used in a generic sense and does not imply total measurement uncertainty as referred to in Taylor and Kuyatt (1994) and ISO (1997).

19 1.1.4 Performance Matching The matching specification herein must be applied to all machines that have the same hardware because they have the same model number. (Matching among manufacturers and different models is desirable, but instrument design differences make this unattainable at this time.) Matching error is a component of reproducibility; within the ISO terminology, it is the measurement uncertainty arising from changing measurement tools. The procedure specified herein is to monitor the matching performance of multiple tools and to take corrective action upon the maverick CD-SEM only at the system level. Per-layer slope and offset corrections or recipe-level corrections are unacceptable for matching. The ABBA method for matching tools while counteracting contamination effects is introduced, and new methods for matching tools on shrinking resist targets are also included. Fleet matching for all tools in a production line that are considered interchangeable is also discussed Pattern Recognition/Stage Navigation Accuracy Pattern recognition capture rate will be characterized as a function of pattern size and shape characteristics, layer contrast, and charging; it will average >97% on production layers. Errors need to be typed and logged so that they are available for a fishbone analysis of pattern recognition failures. Stage accuracy and repeatability for both local and long-range moves must be measured for each of 5 µm, 100 µm, and full-range across-wafer stage movements. The 90 nm CD-SEMs must be able to measure features 100 µm from the nearest pattern recognition target. Tests for stage precision are included, as well as pattern recognition placement repeatability; the methodology for evaluating these across multiple tools is also included, as guaranteeing that measurement position is consistent between tools has recently become a key component of tool-to-tool matching Throughput The throughput CD-SEM specification is designed to test the high speed sorting of production wafers by a CD-SEM. Typical sorting methods for production needs are described below. Throughput must be evaluated under the same conditions as the testing of precision, contamination and charging, linearity and matching; that is, using the same algorithm and SEM configuration, the same wafers (designs and substrates), eventually other die but same sites. A detailed investigation of the throughput budget will be conducted if the system is capable of precision and accuracy values better than the specifications with a sacrifice to system throughput Recipe Portability Matching for Tool Fleet Management In a production environment where large fleets of tools are expected to perform in a uniform manner, there is more to fleet management that just fleet matching of measurements. This new section emphasizes what is required to achieve good fleet productivity performance Instrumentation Outputs CD control at 90 nm and below demands sophisticated engineering and SEM diagnostics. This specification is a priority list of outputs that metrologists require from an advanced tool in addition to the output CD measurement number itself. These include raw line scan output, total electron dose, signal smoothing parameters, detector efficiency, signal-to-noise (S/N) ratio, pattern recognition error log, and others. 3

20 Tool Utility and Automation Many features are needed by the CD-SEM user community for improved production-worthiness. These include ability to interrupt automatic runs, ability to take manual measurements, types of features for automatic measurement, different formats for presentation of data, different formats for saved images or data files, networking capabilities, etc. This specification is a list of these features. Tools can be judged by completing a survey. A new section has been added about automatic recipe setup. Another new section shows needed features for measuring double patterned features Reliability (CD-SEM Performance and CD-SEM Supplier Performance) Overall CD-SEM performance must include tool reliability, software reliability, and quality supplier support. The Equipment Productivity Improvement Team (EPIT) at the International SEMATCH Manufacturing Initiative () has developed a survey to gauge tool reliability throughout the member companies and an effective way to measure the subjective metric of supplier performance. This survey has been incorporated into the Unified CD-SEM Specification to give a more complete picture of the performance of CD-SEM manufacturers Cost of Ownership (COO) Cost of ownership is a ubiquitous standard used when purchasing a tool. CD-SEM participants will be surveyed for basic cost of ownership considerations of their benchmarked products Survey of Supplier Specifications This section is a guide to the kind of information an evaluator should collect from a supplier up-front as part of the evaluation to yield further information about COO and to add perspective to the final evaluation results Precision and Accuracy of Profile Measurement The advent of small-angle electronic-beam tilting CD-SEMs or the inclusion of electron inversescattering algorithms have yielded the ability to measure profile heights and sidewall angles, as well as profile shapes. This new section attempts to gauge precision and accuracy of height and sidewall angle for tools that offer these abilities. The measurements of reproducibility (precision), contamination and charging, linearity, and matching must be done under common conditions of wafers, algorithms, and SEM settings. Throughput, although not specified herein, should also be evaluated under the same conditions. Studies have been published analyzing these systems [4] [5] Precision, Accuracy, and Proper Methodology of Roughness Measurement Line edge roughness (LER) and linewidth roughness (LWR) measurement has become a hot topic recently. Much background is given on how to properly measure these quantities, and a first draft of a measurement definition and validation methodology is specified, which should eventually evolve into a SEMI definition. A method of determining how many measurements are needed for statistically valid determination of the average roughness of a sample is introduced here, also.

21 Sensitivity to Process Change A simple method is introduced to test special functions on CD-SEMs that use non-spatial metrics to detect process failures ITRS Precision/Uncertainty A discussion is now included about the ITRS precision metrics and how these metrics can be interpreted in more general terms as uncertainty. 1. Scope Each section of the specification contains a concise definition of the respective performance parameter and cites ISO definitions in Appendix A. The test methodology is described for each specification, complete with the relevant statistical analysis. Many parameters (including precision, matching, and magnification accuracy) are numerically specified to be consistent with the 003 ITRS. Other parameters, such as charging and linewidth accuracy, are targeted with guidelines for improvement. The test wafers for determining conformance with the specification are also defined. 1.3 Expected Results The AMAG has circulated this specification among the metrology suppliers and have conferred with them. Some components of the specification have already been adopted by some of the manufacturers in the newer model instruments. The consortium is committed to providing the test wafers described herein and their characterization. A test wafer set has also been sent to participating manufacturers for their evaluation and testing (see Section.1). This specification will provide a written and agreed procedural basis for the consortium, working with suppliers, to evaluate the latest generation of CD-SEMs and to define the minimum capabilities of an advanced SEM for sub-90 nm linewidth measurement and control. 1.4 Final Word This specification is designed to be a living-document in that as instruments improve, the specifications must also improve. The AMAG is committed to improvements in this document as new and improved instrumentation and new procedures for testing these instruments become available. This 010 version is another in an annual series of incremental moderate changes from the original 004 document, which at the time was a major revision from earlier versions. It differs mainly in the areas of contamination baselining and photoresist shrinkage. 5 INTRODUCTION The unified specification for an advanced CD-SEM measurement instrument is targeted to keep pace with the ITRS for CD-SEM metrology. The AMAG has concluded that currently no single CD measurement instrument or technology will provide process engineers with the tools that they require to provide lithographic and etch process control for sub-90 nm manufacturing technology. Where sub-90 nm manufacturing is concerned, the three most logical technologies available for this metrology are advanced CD-SEMs, AFMs, and optical critical dimension (OCD) tools such as scatterometers. Even for current lithographic technology, each of these options has demonstrated deficiencies in

22 6 significant areas. Conversely, each has also demonstrated the potential capabilities and advantages (with the CD-SEM ahead of CD-AFM in automation, throughput and cost-ofownership) to move into this regime. In this document, a proposal to develop a unified advanced CD-SEM specification is presented. This is accomplished through defining needed outputs and testing procedures..1 AMAG Wafer Set.1.1 First Generation Wafer Set and Benchmark (1999, 50 nm ITRS node) The first generation of the AMAG wafer set was patterned from an Advanced Micro Devices (AMD) designed and built test reticle. The exposure was done with the Micrascan 3 5 in 800 nm ultraviolet UV6 resist on deep ultraviolet (DUV) 30 anti-reflective coating (ARC) on polysilicon. The nominal or target values are 50 nm (± 0% spread). These wafers were used in the 1999 benchmark [6] [7]..1. Second Generation Wafers and Benchmark (001, 130 nm ITRS node) The goal for the second generation of CD-SEM benchmarking was to develop 48 nm technology node structure with a ±0% process for evaluating latest generation CD-SEM tools. The wafer set built for lines reflected the best process window to fit in a 55 focus/exposure matrix (FEM) for a 10 nm 1.5:1 dense line array. The nominal process window for the dense line array ranged from 90 nm to 150 nm in a 300 nm pitch. The nominal process window for the isolated line array ranged from 100 nm to 140 nm. The isolated lines are not true isolated lines because the dense/isolated bias of 60% or more was large and because the reticle used for exposure was an attenuated phase shift mask (att.psm) with the appropriate assist features (the AMAG-3L reticle). The space on one side of the line was 300 nm and on the opposite side was 500 nm. The line wafer set consisted of 400 nm of resist on 00 nm of poly etched 00 nm poly wafers. Smaller isolated lines were achievable, but to conserve material, the FEM was set up to capture both dense and isolated lines on one wafer as best as possible. The wafer set built for contacts reflected the best process window to fit in a 5 5 focus/exposure array for contacts. The nominal process window for contacts ranged from nm. The wafers set consisted of resist on oxide wafers. No suitable etch was available for this project. The second generation benchmark was performed in 001 for the 130 nm/100 nm technology node [8] [9]. Negotiations with suppliers produced a 5-day window to conduct the bulk of the benchmark. Two suppliers provided their demo tools and lab to the benchmark and two CD-SEMs were done onsite at. All four provided application engineering support to the benchmark project. In most cases, each supplier was given a three-wafer set of AMAG designed wafers (resist lines, etched poly lines, and resist contact) to set up and practice for the repeatability and reproducibility (precision) study. Once the repeatability and reproducibility study began, a fresh set of wafers was provided. If the first trial failed to meet expectations, the suppliers were given the opportunity to set up anew on those wafers and a second set of fresh wafers was provided. 5 Certain commercial equipment is identified in this report to adequately describe the experimental procedure. Such identification does not imply recommendation or endorsement by the National Institute of Standards and Technology or that the equipment identified is necessarily the best available for the purpose.

23 All the suppliers had a second try at improving their performance on two or more targets. The repeatability and reproducibility tests produced reliable results. Image-shift and scan-rotation measurement accuracy were performed but without the recommended NIST-calibrated pitch standard. An AMAG wafer was substituted. Due to the attenuated phase shifting (variable pitches) and line edge roughness, the results were not usable for this benchmarking. ABW was performed as specified on three of the four tools benchmarked. The fourth tool was tested and lacked only static asymmetry because of wafer handling limitations. Corrected precision, non-linearity, slope, and offset tests have not yet been performed because of continuing development of a reference measurement system at. Interaction with sample (contamination and charging) proved especially difficult. The large sample plan had to be truncated to accommodate the timeframe of the benchmark. Also, the results compared were not necessarily representative of the true tool capability as the CD-SEM suppliers have some divergent strategies to mitigate charging and contamination and the specified procedure overrode their mitigation strategies. The method 1 contamination and charging tests themselves do not appear to be sensitive enough to detect subtle differences among CD-SEMs. Method contamination as a qualitative test is effective, but using the best mitigation strategy would seem to provide the most useful result. System matching was attempted on two tool sets but the lack of specifically matched tools produced poor results not representative of the true tool capabilities. Also, suppliers demo labs were at various stages of readiness so that data from this was more a test of whose lab was better setup with two tools at the time of the benchmark. The pattern recognition test was not attempted because the AMAG-3L reticle lacked dedicated pattern recognition features that were challenging enough to produce failures on the CD-SEMs tested. One feature was run on all tools; all passed with a 100% capture rate. Navigation was performed with a truncated data set because of time constraints. Three of the four CD-SEMs were not set up to produce automated, accurate results for navigation testing. All CD-SEMs performed well as a result of using laser interferometry for stage control. Thus, a more precise method of measuring navigation is called for. Throughput was performed as specified. The only discrepancy was that bridge tools used for demo purposes can skew results from dedicated 00 mm tools to multi-port 300 mm and 00 mm front opening unified pod (FOUP) equipped tools. SEM outputs were performed as specified..1.3 Third Generation Wafers and Benchmark [10] [11] (003, 90 nm ITRS node) The goal of the third generation of the CD-SEM 003 benchmarking wafer set was to develop 90 nm dense and 65 nm isolated lines using 193 nm (ArF laser) lithography technology for benchmarking. Features included in the precision benchmark were 48 nm (KrF laser) resist dense and isolated lines and isolated trench; 193 nm resist dense and isolated lines, isolated trench, and contact holes; etched poly dense and isolated lines; etched contact holes in oxide; and etched shallow trench isolation (STI) trenches. Samples of advanced formulation 157 nm (fluorine laser) resist were included in the contamination tests; these samples exhibit more shrinkage than 193 nm resists. A new 193 nm lithography attenuated phase-shifted metrology 7

24 8 reticle (AMAG-4L) was designed and built for these benchmarking activities. It includes all structures needed for all tests in the specification relating to 193 nm resist-based structures. Figure 1 shows sample cross-sectional SEM images from the wafers in the benchmark Gate Lithography and Post-Etch Gate Stacks (48 nm DUV Resist) To represent the gate lithography/etch process, two wafer types were used: one was 6000 Å thick Shipley UV6 DUV resist on organic anti-reflective coating (ARC) on 000 Å amorphous-si (a-si) on 15 Å gate oxide; the other was the resulting etched polysilicon lines from the resist wafer. Both wafers were from the same lot and moved together through the same processing through lithography. Both wafers were shot as identical FEMs of wide variation (focus steps of 0. and exposure steps of mj). The reticle was a binary DUV mask (AMAG-3L). The 48 nm resist wafers were used for all tests calling for 48 nm resist. The 48 nm trench wafers for precision tests underwent the same lithography process but with the 89AZ reticle Gate Lithography Stack and Post-Etch Gate Stacks (193 nm ArF Resist) To represent a more advanced gate lithography process and evaluate this technique s interaction with a shrinking resist, a wafer with 700 Å thick Sumitomo PAR nm ArF resist on organic ARC on 000 Å poly-si on 15 Å gate oxide was exposed. The wafers were shot as a FEM of typical variation (focus steps of 0.1 and exposure steps of mj). The reticle was a phase-shifted mask (AMAG-4L) with many different nominal line, trench, and contact hole sizes of various pitches from isolated to 1:1 dense. Profiles of phase-shifted structures do not vary much. Some of these were etched to serve in tests involving etched poly (except for matching). Different wafers were shot targeting iso lines and dense lines/iso trenches, as the overlap of their process windows was not perfect. a) 48 nm Iso Line b) Etch Poly Iso Line c) Etched Poly Dense Lines d) 193 nm Resist Iso Line e) 193 nm Resist Dense Lines f) STI Trench (dense) g) 193 nm Resist Contact Holes Figure 1 Sample Cross-Sections of Benchmark Wafers

25 Shallow Trench Isolation (STI) Lithography/Etched Stack To represent the STI lithography/etch process, lithography consisted of 5000 Å thick Shipley UV6 DUV resist on 300 Å SiON (acting as inorganic ARC) on 1000 Å SiN on 150 Å SiO on bare Si. Wafers were etched to a depth of around 5000 Å. All wafers were from the same lot and underwent the same processing through lithography, exposed as identical FEMs of wide variation (focus steps of 0.15 µm and exposure steps of mj). The reticle was Q-Cleave-D Contact Hole Lithography/Etched Stack The 604AZ reticle was used to expose the 193 nm resist contact holes. Resist thickness was 3500 Å of Sumitomo PAR810. The stack underneath was 600 Å Brewer ARC5 on 5500 Å oxide on silicon nm (KrF) Resist Stack The resist was Shipley XP115 exposed on the Exitech stepper. This was exposed on bare Si Benchmark Three tools participated in the 003 Benchmark. The following tests were performed: Precision (all layers above) Autofocus and stigmation robustness Mandel accuracy for ArF resist and etched gate ArF Resist shrinkage during first e-beam exposure ABW (on etched gate) Resolution Interaction with sample: Contamination method 1 (statics on 48 nm, 193 nm, and 157 nm resist plus etched a-si gate) Interaction with sample: Contamination method (long-beam dwell time on etched a-si gate) Pattern recognition Throughput Roughness measurement precision on etched a-si gate, 48 nm resist, and 193 nm resist General results were: General tightening of the pack, competitive Suppliers successfully addressed: Iso and Dense poly precision (smallest ITRS spec) Apparent Beam Width (ABW) Resolution (but this must always continue) Matching and standardized performance 9

26 10 Pattern Recognition (almost all tools scored 100%; test was still not tough enough) Throughput (especially MAM and exchange time) Tool automation and utility aspects System Cleanliness Issues: 193 nm resist shrinkage (improved from 001, but not enough) Contact hole precision (especially when combined with 193 nm shrinkage) LWR measurement Accuracy linearity and offset options available for better edge algorithms (physicsbased) [1] [13].1.4 Fourth Generation Wafers and Evaluations ( , 65 nm and 45 nm ITRS nodes) As of 004, the model for SEMATECH litho-metrology benchmarking changed to evaluation, the subtle difference being that the head-to-head competitive benchmarks of several tools in one timeframe gave way to equivalent evaluation joint development projects (JDP)s which involved applying the Unified Specification to only one supplier and tool in each study. The advantage of this is more timely data after the release of a new tool. Two of these were completed in 004. These evaluations mirrored the 003 evaluations, except that the wafers below were also included, making the evaluations valid to the 65 nm and 45 nm ITRS nodes. Results were generally competitive with the 003 results, with some improvement, especially in roughness measurement, throughput, and 193 nm resist shrinkage [14] [15] Advanced Gate Lithography and Post-Etch Gate Stacks (193 nm ArF resist with surfactant rinse developer) To represent a more advanced gate lithography process and evaluate this technique s interaction with a shrinking resist, a wafer with 1800 Å (1900 Å before develop) thick Sumitomo PAR nm ArF resist on 770 Å organic ARC (Brewer ARC9) on 1000 Å a-si on 19 Å gate oxide was exposed. The wafers were shot as a FEM of typical variation (focus steps of 0.1 and exposure steps of 0.5 mj). The developer included a surfactant rinse that allowed for a larger process window. The reticle was a phase-shifted mask (AMAG-5L) with many different nominal line, trench, and contact hole sizes of various pitches from isolated to 1:1 dense. These wafers were exposed at ASML in Tempe, Arizona by their more advanced scanner. Profiles of phaseshifted structures do not vary much. Some of these were etched to serve in precision tests. The etch was a aggressive trim etch with a 5 nm etch delta. Different wafers were shot targeting iso lines and dense lines/iso trenches, as the overlap of their process windows was not perfect. The resist lines got as small as ~35 nm. The smallest etched a-si lines to survive the etch were seen to be as small as 19 nm in CD-AFM measurements. Thus these structures represent the 65 nm and 45 nm ITRS nodes, and arguably, the 3 nm node. Figure shows sample cross-sectional SEM images from these wafers. This same stack was reproduced in 006 and trim-etched more aggressively, yielding 10 nm lines, as confirmed by the /NIST RMS CD-AFM (see Figure 3).

27 SOI Poly Gate Stack This wafer was loaned by a member company; thus detailed information is not available. The basic architecture of the stack is etched a-si on a nitrided gate oxide SOI Contact Stack This wafer was loaned by a member company; thus detailed information is not available. The basic architecture of the stack is etched contact holes in an advanced dielectric. a) 193 nm Resist Iso Lines b) Advanced 193 nm Resist Dense Lines c) Advanced a-si Iso Lines d) Advanced a-si Dense Lines Note: Etched gate lines were as small as 18 nm. Figure Sample Cross-Sections of AMAG-5L Resist and Etched Gate Wafers Figure 3 Sample Cross-Section of AMAG-5L Trim-Etched Gates with Sizes Down to 10 nm ( nm node, also applicable to 18 nm node) Wafers for 005 Wafers built in 005 include wafers for the 45 nm half-pitch to represent resist and etched stages of the contact hole stack (holes down to ~45 nm after etch), low-k damascene via and trench stack with CDs in the 60 nm range, and STI trench wafers of varying depths. Samples of EUV resist (~50 nm CD with a 100 nm pitch) were also fabricated, as were e-beam exposed 0 nm etched trenches.

28 1 Also, SOI (strained Si on insulator) wafers are being purchased for samples, and low-k dielectric will be explored for contact/via structures. Smaller samples printed with e-beam direct-write are also being made. These will provide for 10 0 nm resist lines and ~10 nm etched a-si gates of small pitch Wafers for 006 Wafers built in 006 included fin structures for FinFETs with varied sidewall angles, ArF photoresist process-stressed artifacts (PSAs) where both CD and sidewall angle are significantly changed, within a set of wafers of varied spun-on resist thickness (i.e., height), and samples of immersion ArF (iarf) photoresist and EUV resist. Pitches in iarf down to 70 nm were achieved in the SEMATECH immersion resist program using an Amphibian tool, which is more of an interferometer than a stepper. Note that in 006, the previous resist stack was trimetched to achieve 10 nm isolated gates (Figure 3) Wafers for 007 Wafers built in 007 included immersion ArF samples down to 90 nm pitch, but with the advantage of pattern recognition features (the previous Amphibian wafers included no pattern recognition). The 007 iarf wafers were exposed on the Albany imet tool. Qimonda also invented and donated a new resolution sample of porous Si. This wafer is a full 300 mm wafer, with the density of features necessary for good performance with the SMART algorithm for resolution measurement. It also is made of materials that are considered fabfriendly (i.e., no contamination risk) Wafers for 008 Wafers built in 008 included double patterned features of both the spacer self-aligned and/or double lithography/double etch. A 80 nm pitch was achieved with the spacers. Tighter pitches are a goal for the future. This can be done with the 008 AMAG6 reticle set (which includes both a line/space reticle and a contact hole reticle). As of 010, this reticle can print down to 45 nm linewidths with a 90 nm pitch on a single exposure, with smaller lines down to around 30 nm with larger pitches, and 50 nm diameter contact holes with a 10 nm pitch Wafers for In , the main concern for challenging samples became high aspect ratio (HAR) contact holes and trenches. Contact holes with a bottom diameter of 35 nm and depth of 500 nm (~14:1 aspect ratio, AR) were produced, etched through the oxide to land on Si with and without a nitride plug at the bottom. A survey among the member companies and tool suppliers [8] indicated that these features have no known solution when the AR gets deeper than around 15:1, and member company input was that 0:1, 40:1 and even 60:1 hole and trench features will need to be controlled in the future. Thus, such features must be tested (see Figure 4). Additionally, the deep trenches will soon be fabricated using a new multi-purpose metrology template for nanoimprint lithography, which includes features as small as nm lines/spaces with a 44 nm pitch, nm dots with a 44 nm pitch and larger sizes, Fresnel zone plates, synthesized random dot arrays for SEM resolution testing, and intentional defect array (IDA) patterns for defect review tool testing that have secondary benefits in providing circuit-like features for CD-SEM testing (see Figure 5 and Figure 6). As of this publication, these features are printable in resist on SEMATECH s Molecular Imprint tool in the Albany CNSE facility, but the etch is still in development.

29 13 a) Topdown CD-SEM image of holes with a nominal 65 nm size on the reticle and a 130 nm pitch; bottom CD is closer to 40 nm b) TEM cross-section of similar holes, of 60 nm nominal size with a 10 nm pitch; bottom CD is closer to 35 nm Figure 4 HAR Contact Holes Etched Into Oxide, Landing on Nitride on Si a) nm lines with a 44 nm pitch b) nm dots with a 44 nm pitch c) Gate level of the IDA logic features Figure 5 Various Printed Features in Resist from the Multi-Purpose Metrology Nanoimprint Template a) Fresnel zone plate with minimum 5 nm lines/spaces in the outer rings b) Random dots with minimum space design rules c) Random dots with no minimum space design rules Figure 6 Various Printed SEM Resolution Features in Resist from the Multi-Purpose Metrology Nanoimprint Template

30 14. General Considerations of the Wafer Test The characteristics of accuracy should be tested under the same CD-SEM run parameters as those used to test precision, contamination, pattern recognition and navigation accuracy, and throughput. For example, the same electron landing energy, beam current, gun bias, detector fields, magnification, and signal averaging must be used for all tests of a particular feature type for a particular instrument. Before accuracy testing, the instrument should be able to pass a minimum beam damage test. This test is part of Section 5 on contamination. In fact, the general procedure for carrying out this set of tests should include a sequence of steps for setting up the instrument. For example, after establishing measurement conditions to minimize charging, a magnification calibration should be conducted. After this, the algorithm can be fine tuned to provide good accuracy metrics. Finally, reproducibility and throughput can be tested. 3 PRECISION, REPRODUCIBILITY, AND REPEATABILITY Confidence in an instrument s ability to repeat a given measurement over a defined period of time is key to semiconductor production. The terms reproducibility and repeatability are defined in general terms in ISO documentation [3]. The new SEMI E document expands on these definitions and includes the term precision [16]. Reproducibility, as used here, is defined as the total variability associated with measurements made under different, but typical conditions. Reproducibility includes those effects associated with the variability of measurements made under identical conditions (i.e., repeatability). Precision is a multiple of reproducibility, typically used for comparison to process tolerances, as in the commonly used precision/tolerance [P/T] ratio: P 6 T UL LL Eq. [1] where 6 is a measure of tool precision, and the feature tolerance is in the denominator, defined by the difference between the upper limit (UL) and lower limit (LL). A P/T ratio greater than 0. has an adverse effect on common production metrics (C p and C pk discussed in a later section). A P/T ratio of 0.1 has often been called the Gauge Makers Rule. The SEMI definition makes the multiplier for precision 6, which is correct if the tolerance is given in terms of the difference between allowed maximum and minimum values. If the tolerance is expressed as a ± value, a multiple of 3 is correct. The multiple of 3 is also used in the vernacular, as in 3. For this document, a 3 precision is used. The procedures described herein are intended to establish the 3 single tool precision for CD measurements made on an in-line SEM as commonly used in semiconductor manufacturing processes. This value is intended to reflect the measurement uncertainty experienced during production on an individual CD-SEM. As such, it includes the effects of expected process variation and time. These tools have automated focus and measurement capability. It is assumed that they are used and that the results are operator-independent. Using more than one tool in a production line introduces additional measurement uncertainty, referred to as matching. This is treated in Section 6. The test procedure involves finding the average variance of repeated measurements on a set of features over three different variables. Through ANOVA, it is possible to get the relative effects

31 of the three variables on the total variation in whatever is being studied Since the targets are FEMs (and PSAs), the variables are stepper focus; stepper exposure; and, of course, time between measurements. The desired output is the variability of these measurements. Focus/exposure wafers are typically balanced arrays that lend themselves well to this approach. The procedure mimics the intended production use of the tool; it is constructed to minimize the well-known carryover or contamination effect that is unique to these tools. Because the procedure mimics actual tool use, the results include the effects attributed to repeatability, but these are not and need not be specifically determined. Some suggestions are made on how to adapt this basic procedure and calculation to get these values. Excel spreadsheets are defined and included to provide a consistent methodology for the computations for the 3 result. As supplementary information, the spreadsheets also include the ANOVA analysis of components, which is valid when the array is square; if the array is not square, this analysis is invalid. 3.1 Test Methodology The following procedure applies individually to any wafer types and/or feature types that may be of interest. A typical manufacturing sequence consists of a lithography step followed by an etch step. For this procedure, these are considered different wafer types. Different features within the same exposure field, whether they are nominally the same or different, are also treated individually. Where it is logical, such as when multiple nominally identical features are within each field, the results of these individual features can be combined and will be covered in the data analysis section. The measurements of reproducibility, contamination and charging, linearity, and matching must be done under common conditions of wafers, algorithms, and SEM settings Wafer and Feature Description Test wafers have to be generated to provide features with the expected process variation (i.e., process stressed artifacts, or PSAs). The specific features to be measured should replicate the target sizes of the critical features in both shape and orientation relative to the wafer notch or flat. The level of expected process variation is ultimately left to the user, but features with sidewall angles varying from 83 93, from column to column, and with a CD variation of ±15% of nominal size within each column, is suggested as the desirable range of variation. For resist images, wafers can be exposed with an intentional focus variation from row to row and an intentional exposure variation from column to column with focus increasing from the notch and exposure increasing from left to right (notch down). After processing, the wafers were examined to establish which exposure fields within the focus exposure matrix are to be used for the study. Images obviously beyond expected processing variations were excluded. A significant number of fields is required; 5 or more spanning the process window is sufficient. A minimum array of 4 rows 4 columns should be required, but a five by five array is preferable; however, the array need not be perfectly square, although having access to a square portion of the array could be useful for secondary ANOVA analysis of effects of the focus or exposure variables. 15

32 Measurement Conditions The system to be tested is to be set up operating parameters such as accelerating voltage, probe current, magnification, focus mode, frame averaging, and measurement algorithm in the way that it is intended to be used. These may vary for each wafer and/or feature type Procedure The test is run by initially measuring the designated features (PSAs) at each of the fields in the defined row and column matrix. Collectively, these data are called Run 1; the test is executed at Time 0. In the runs that follow, the same set of fields is measured (i.e., same recipe). The time interval should be at least 1 day (or >8 hours) with the wafer removed from the system during that time. The field designations for the following runs are shown for 5 row 5 column and for 4 row 4 column arrays in Table 1. The time numbers (T-1, T-, etc.) in the fields indicate the successive time intervals (run number) for that field. A total of three runs is completed; a fourth may be done for shrinking resists. Keeping track of the run order is important to analyze time effects. Table 1 Multiple Run Sampling for Precision and Reproducibility Tests 5 5 FEM 4 4 FEM Run 1 T-1 T-1 T-1 T-1 T-1 Run 1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 T-1 Run T- T- T- T- T- Run T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- T- Run 3 T-3 T-3 T-3 T-3 T-3 Run 3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 T-3 Shrinking Resists Only Shrinking Resists Only Run 4 T-4 T-4 T-4 T-4 T-4 Run 4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4 T-4

33 This approach is similar to what occurs when questionable results are obtained in a production environment [18]. A typical metrology situation is as follows: A wafer is measured in a production step by an operator. An issue is possibly seen, requiring a technician to double check the measurement. The technician s results are inconclusive, requiring a third measurement by a process engineer. This is the philosophy behind the three measurement scheme, that it mimics realistic fab tool usage. At the same time, the three measurement scheme minimizes but mimics the degree of carry over that will be seen, if any. It is recommended that the measurements be made with minimal human intervention. The tool navigation automation, or if this fails, the operator, should position the feature in the field of view as the tool requires. SEM focus should be automatic and centered on a location not coincident with the exact physical location of the measurement image. The edge detection algorithm is to be the one defined in the SEM job. Operator intervention in the focus and in the measurement process is NOT allowed (i.e., the operator cannot trim in the measurement after the system has made it). To do so would make the result depend on an operator. When the CD is significantly changed during the measurement process (i.e., 193 nm resists), metrologists sometimes implement a pre-charging step to bring the sample to stability. An acceptable way to represent this is to, run a final Run 4 after the standard three-run test. The recipe is written with no built-in pre-charging, and the results are analyzed and reported two ways: once with Runs 1 3 and once with Runs 4 (in which case Run 1 simulates pre-charge). Note: Because different member companies have different philosophies about whether or not pre-charging is a correct procedure, this methodology yields precision values which of interest to members of either camp. In summary, the methodology outlined above is to choose ~5 sites or more of process stressed artifacts, preferably a FEM; write a recipe; and run the recipe three times. If measuring a target with significant measurement trend, such as 193 nm resist, then add a fourth run. Past versions of this specification outlined a more rigorous Latin Square [17] test that is no longer specified here; the result from this new simplified version is a close approximation of the results of the full Latin Square test. This allows the test to be run with one generic recipe, which greatly simplifies and accelerates the test. What is lost in the abbreviated version is the time (diagonal) axis from the ANOVA, but this has been deemed by the AMAG to be of minor consequence. 3. Specifications For a metrology system to be useful for any wafer and/or feature type, the P/T ratio must be small. The ITRS specifies this figure to be 0. or less. The more conservative Gauge Maker s rule requires P/T be 0.1 or less, while some manufacturing processes may allow for a more liberal P/T to be less than 0.3 (this is sometimes done to justify extending the working life of metrology equipment). This allows for a generally acceptable metrology error budget that is included in production metrics: C p and C pk. Sigma of process is generally taken as measured by a metrology tool and includes the measuring tool reproducibility. The P/T ratio is the inverse of a C p calculation but uses the reproducibility of the measuring tool. This calculation is sometimes called gauge C p or %GRR. Table is the important CD-SEM related information from the ITRS. Older nodes, back to 50 nm, are also shown (for historical reference and for applicability to gauging metrology for older manufacturing processes), with values that have 17

34 18 been extrapolated backwards or drawn from previous roadmap versions. See Section 17 for a discussion about the metrics in Table. The new ITRS requirements from 007 onwards are shown in Table 3 [75]. Table is left as a reference, since it will remain as the historic record for technology nodes older than the 45 nm half-pitch. Table 006 ITRS for CD Metrology with Precision Metrics Technology Node 50 nm 180 nm 130 nm 90 nm 65 nm 45 nm 3 nm nm 18 nm 14 nm Year DRAM ½ Pitch (nm) MPU/ASIC Metal 1 Half-Pitch (nm) MPU Printed Gate Length (nm) MPU Physical Gate Length (nm) Contact Hole Diameter, After etch (nm) Lithography Control Printed Gate CD Control (nm) 1% Uniformity, Allowed Litho Variance = 4/5 Total Variance of Physical Gate Length Wafer Dense Line CD Control (nm) 13.5% Uniformity, Allowed Litho Variance = /3 Total Variance Wafer Contact CD Control (nm), 15% Uniformity, Allowed Litho Variance = /3 Total Variance Linewidth Roughness (nm, 3 ) 8% of CD Lithography Metrology Wafer CD Tool 3 Precision, P/T=0., for Printed and Physical Isolated Lines (nm) Wafer CD metrology tool precision, P/T=0., for Dense Lines (nm)* Wafer CD metrology tool precision, P/T=0., for Contact Holes (nm) Precision of LWR (nm) *All precision values are 3 in nm and include metrology tool to tool matching **Measurement tool performance needs to be independent of target shape, material, and density ***The Lithography roadmap has changed from LER to LWR. LER Local line-edge variation (3 total, all frequency components included, both edges) evaluated along a distance that allows determination of spatial wavelength equal to two times the technology node. Linewidth roughness (LWR) is defined as LWR=sqrt()LER for uncorrelated line edge roughness. ****Information for contact holes are approximate. Information from 50 nm, 180 nm, and 130 nm technology nodes are from 001 ITRS. This table is not an exact reprint of the original table 117 from the ITRS; instead, it highlights the design rules, tolerances, and precision aspects. Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known White Yellow Orange Red

35 (and onwards) Uncertainty Definition and ITRS Table In 007, a new version of the ITRS metrology table, including significant changes in metric definitions, was approved. The main change is that uncertainty became the main metric instead of precision, although precision remains a main component of uncertainty, as uncertainty is an RMS sum of variance components for single tool precision, matching, inaccuracy and sampling error: p M S other 19 Eq. [] where uncertainty () contains the following components: σ P (single tool precision, as presented in Section 3.1), M (matching, as with fleet matching in Section 6.5), S (sample variation, as discussed in Section 17.1),and σ other (inaccuracy and other effects, where accuracy is discussed in Section 4.1.3). Further discussion is also in Section 17. Superficially, the table does not seem much different from the past (see Table 3 for the 009 ITRS requirements for wafer-level litho metrology), as the precision numbers in the tables are changed to uncertainty numbers, with the same P/T ratio (really a U/T ratio ) of 0%. The reasons for changes in the uncertainty values are more due to changes in the specified feature sizes at each node. However, note that these values now firmly contain, by definition, not only the precision component, but also the matching and accuracy components. Also note that in metrology gauge studies with a repeating (i.e., closed) set of samples, the sample variation component is nullified and the accuracy and matching components are also present, depending on the user s application. For instance, if single tool dedication is to be used for an application, matching can be nullified, or if no reference measurements exist, accuracy is nullified. This is further explored in the literature [7]. Table 3 Lithography Metrology (Wafer) Technology Requirements Year of Production Flash ½ Pitch (nm) (un-contacted Poly)(f) DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm) MPU Printed Gate Length (GLpr) (nm) MPU Physical Gate Length (GLph) (nm) ASIC/Low Operating Power Printed Gate Length (nm) ASIC/Low Operating Power Physical Gate Length (nm) ASIC/Low Standby Power Physical Gate Length (nm) MPU Etch Ratio GLpr/GLph (nm) Wafer minimum Overlay control DRAM single litho tool (nm) Wafer overlay output metrology uncertainty (nm, 3 s)* P/T= Gate (MPU Physical Gate Length) Printed gate CD control (nm) Uniformity (variance) is 1% of CD Allowed lithography variance = 3/4 total variance of physical gate length *

36 0 Wafer CD metrology tool uncertainty (nm) * 3s at P/T = 0. for isolated printed and physical lines [A] Etched Gate Line Width Roughness (nm, 3 s) < 8% of CD ** Wafer CD metrology tool uncertainty for LWR (nm), P/T= Dense Line (Flash 1/ pitch, un-contacted poly) Wafer dense line CD control (nm) * Uniformity is 1% of CD Allowed lithography variance = 3/4 total variance Wafer CD metrology tool uncertainty (nm) * (P/T =. for dense lines**) Contacts Wafer minimum contact hole (nm, post etch) from lithography tables: Flash Wafer CD metrology tool uncertainty (nm) * (P/T=. for contacts)*** Aspect Ratio Capability for Trench Structure CD Metrology 15:1 15:1 0:1 0:1 0:1 0:1 0:1 Double Patterning Metrology Requirements, Generic Pitch Spliting Double Patterning Requirements Driven by MPU metal 1/ Pitch**** Mean CD Difference in DP Lines***** Wafer CD metrology tool uncertainty (nm, 3 Sigma, P/T=0.) for measuring Mean CD Difference in DP Lines ***** Pooled Dual Line CD control (3 sigma) (nm) Wafer CD metrology tool uncertainty (nm, 3 Sigma, P/T=0.) for measuring Pooled Dual Line CD Overlay 3s for MPU LFLE or LELE Wafer metrology tool uncertainty (nm, 3 Sigma, P/T=0.) for measuring Overlay for MPU LFLE or LELE Printed Dependent Space CD control for MPU LFLE-LELE (nm,3s) Wafer CD metrology tool uncertainty (nm, 3 Sigma, P/T=0.) for measuring Printed Dependent Space CD for MPU LFLE LELE Double Patterning Metrology Requirements, Generic Spacer Patterning Requirements - Driven By Flash ****** Core Gap (Line) CD Control (Sacrificial Line often called Carrier) (3 sigma) (nm) Wafer CD metrology tool uncertainty (nm, 3 Sigma, P/T=0.) for measuring Core Gap (Carrier Line) CD Line - Deposited Thickness uniformity (3 sigma) (nm) Wafer CD metrology tool uncertainty (nm, 3 Sigma, P/T=0.) for measuring Line Deposited Thickness Space Uniformity (Bi-Modal) 3 sigma Wafer CD metrology tool uncertainty (nm, 3 Sigma, P/T=0.) for measuring Pooled Bi-Modal Space CD Mean CD Difference causing Bi-modal Space CD***** Wafer CD metrology tool uncertainty (nm, 3 Sigma, P/T=0.) for measuring Mean CD Difference of Bi-modal Space CD***** Overlay for spacer process Wafer CD metrology tool uncertainty (nm, 3 Sigma, P/T=0.) for measuring Overlay for spacer process Side Wall Angle control Double patterning (from litho tables)

37 1 Metrology Uncertainty for measuring Side Wall Angle control Double patterning (nm, P/T=0.) General Metrology Manufacturing Requirements Target Pad Size for OCD/scatterometry or Diffraction Overlay, or Target Size for Optical overlay (max size for either, square pad, size in microns) In-die Micro-Targets for Overlay or OCD (target pad size in microns). Dimension includes all needed exclusion.******* Move-Acquire-Measure Time for CD or Overlay (MAM time, seconds per measurement) Notes: *All uncertainty values are 3 Sigma in nm and include single tool precision, metrology tool-to-tool matching, and other component as discussed in the text. Requirement is for uncertainty value at top, middle, and bottom CD. See the Explanation of Uncertainty, Section 17 for further information. **The Lithography roadmap has changed from line edge roughness (LER) to line width roughness (LWR). See SEMI standard for definition.[70] LER Local line-edge variation (3 sigma total, all frequency components included, both edges) evaluated along a distance that allows determination of spatial wavelength equal to two times the technology generation dimension. LWR is defined as LWR=sqrt()LER. ***Bottom CD for contacts presently requires measurement by FIB. ****Double patterning done using a combination of two lithography steps and hardmasks or resist freezing. The best case scenario is shown here assuming alignment of A to X and B to X. Worst case scenario aligns A to X and B to A. This is not mentioned here. Process Range will be in the order of Single Machine Overlay. *****Measurement of double patterned features will require simultaneous measurement and discrimination of two subtly different means of a bi-modal distribution, as listed here. ******Double patterning done using carrier structure and spacers have standard gate process ranges and CD controls for carrier and spacers. The best case scenario is shown here assuming alignment of A to X and B to X. Worst case scenario aligns A to X and B to A. This is not mentioned here. Process Range will be in the order of Single Machine Overlay. *******For overlay, the number of layers to measure in a single target is moving to >. Manufacturable solutions exist, and are being optimized Manufacturable solutions are known Interim solutions are known Manufacturable solutions are NOT known White Yellow Orange Red 3.. Recommended Values for Precision Uncertainty Component Considering the definition of uncertainty and the uncertainty values in Table 3, if the precision, matching, accuracy (TMU) and sample variation components were to each be quantifiable as half the ITRS uncertainty values, then the ITRS is satisfied. In some applications, some components can be discounted. For instance, in gauge studies with a closed sample set (i.e., the same sites are always measured), sample variation is zero. With single tool dedication, matching is zero. In real life manufacturing applications, sample variation is crucial since the set of samples to be measured is open (i.e., no sites repeat). The AMAG recommends that when tools are evaluated, each component should be controlled to half the ITRS uncertainty for each individual component, so that the tool will perform in all cases of real use.

38 3.3 Data Analysis To compute the variance over the process variation, the variance of the three values at each die is calculated. The square root of the value results in a 1 average. Multiplying reproducibility by the accuracy scale factor and a factor of 3 yields a corrected 3 precision. When multiple nominally identical features are measured within each field, the average variance for each feature is calculated and then those variances are averaged. The square root of that result is the 1 reproducibility. These calculations are based on the output of the machine under test. They are essentially uncalibrated. Section 4.1. provides the means to obtain an accuracy scale factor (which is the slope parameter mentioned in Section 4.1.), which is applied, as a multiplier, to the initial result. The 3 precision is 3X this value. Table 4 and Table 5 are samples of this calculation for a 55 and for 44 array, respectively. In summary, the 3 precision is as follows: 3 prec = 3 (accuracy scale factor) (average of variances at each measurement site) Trend Removal Additionally, in cases of significant, systematic CD change (such as with 193 nm/arf resists or SOI contact holes exhibiting a large susceptibility to charging), an alternative calculation of the precision should be performed on the data runs. Here the randomness of the data about the trend is calculated. A linear trend is calculated from the CD values collected at each site of the FEM during each consecutive measurement. After subtracting this trend from the original CD data, the variance about each site s trend is calculated. This calculated variance replaces the raw, unadjusted variances calculated in the standard procedure. When compared to the standard precision numbers (calculated with variance above), this is a useful test for comparing the effects of random measurement variation with systematic measurement variation (i.e., noise effects on precision vs. shrinkage effects). Alternatively, the trend used for compensation can be the average CD measurement (averaged across the entire FEM) of each run. This will mathematically lead to a result between the value from the raw, untrended precision and the previously calculated trended precision that used the trends of each site. Another trend removal methodology is to adjust the CD values by the average carryover (over the process window) between successive runs; this is the carryover discussed in Section 3.5. This trend removal technique is the equivalent of removing the trend curve or taking the variance about the trend curve. The value for precision from this metric tends to be smaller than the linear fits, but is probably a slightly better representation of the CD-SEM s true precision on a given target, material changes notwithstanding. This metric seems to be gaining wide acceptance among member companies as of 005. Although the AMAG has set an eventual goal to decide on an official single methodology for this trend removal, for now both the linear trend removal and the average carryover removal methods (as above) will continue to be used in this specification.

39 3.3. Other Concerns with Respect to CD Metrics or Other Metrics for Which Precision is Measured Note that the precision test, conventionally, is used for measuring the CD of single, individual, discrete features, but this does not necessarily have to be so. The above test could also be used to measure the precision of any metric, such as profile height or profile sidewall angle. Modern CD-SEMs also have the ability to measure multiple features from the same image and report CD values that represent the average value of the CD of several or many discrete features. These applications are available on different suppliers tools, and are known as average CD (ACD) or macro CD (MCD) [6] [64]. If such metrics are used, it is important to note this in any evaluation. The preferred AMAG evaluation criterion is the precision of measuring single CD. The evaluator will request that if a precision is calculated for an average CD, the corresponding equivalent precision of single features should also be reported AMAG Calculation Spreadsheets Table 4 and Table 5 show example calculations. Note that when these ACD/MCD applications are used in measuring N features and reporting the average/variance in N features, an improvement in precision by a factor of sqrt(n) is expected, with an improvement in error of estimation of the mean CD by a factor of sqrt(n). This is explained by the statistical equation shown in Section The official AMAG Excel spreadsheets 6 will perform these calculations automatically. The yellow cells in normal text are data input cells that include the measurements and the accuracy correction factor. The other cells are calculations and are locked. 3 Table 4 Example of Precision Calculation Data Run 1 Run Run Calculated Results Avg. Variance 0.16 Avg. Run-to-Run Bias 0.0 Min. CD 9.0 Reproducibility Run-to-Run Vias 1.79 Avg. CD Accuracy Scale Factor 1.10 Avg. Bias (R R1) -0.0 Max. CD Corrected 3 Precision 1.34 Avg. Bias (R3 R) 0.05 Steyx Precision 1.4 Trended Precision Available upon request from the AMAG chair.

40 4 Table 5 Component Analysis Computations Col 1 Col Col 3 Col 4 Col 5 Row Avg. Row Row Row Row Row Column Avg Diag. Avg N = 5 SS df MS % Total SS Row Effects % Col. Effects % Diag. Effects % Error % Total Note: The variance within each site is computed among all runs. Row, column, and diagonal average variances are then computed and their percentage effect computed relative to the factor of random error. In this case, row effects represent the effects on CD of stepper focus (i.e., feature profile), column effects of stepper exposure, and diagonal effects of time (if the Latin Square method is used). In addition to the 3 precision calculation, the spreadsheets perform an analysis of the precision with trend removal about both site-by-site linear trends and the process window average linear trend. Carryover between runs (see below) is also calculated, as is the trend about the process window average carryover trend; the maximum, minimum, and average CD of the measured process window is also reported. Below these results on the spreadsheets, the same analysis is automatically repeated for the data based only on run 1 and run if the carryover effect is severe. Note: Several commercial systems can apply such corrections automatically within the job definition. If this is done before running the test, the raw data are calibrated and no additional correction is required. Three different schemes of trend removal are represented, along with the raw precision where no trend is removed. In evaluation activities, all metrics are shown side by side, as different member companies find value in different metrics. As such, all member companies can find their metric of value. If a member company has other calculation methodologies that have yet to be introduced, they are asked to let the AMAG editor know about them so that they might be considered for inclusion in future results sets. 3.4 Auxiliary Tests This method can be applied to other characteristics. One of the easiest adaptations is the determination of the dynamic repeatability. This might be done when evaluating at a supplier's site, for example. The only difference is the meaning of the time variable. The procedure takes the three runs as full arrays with a sample unload between each run, but with minimal time between wafer loads. Another variation is to take the three measurements statically at each field before moving to the next. The order is then not important, and the result is an estimate of the static repeatability.

41 3.5 Carryover Measurement carryover due to contamination, charging, or resist shrinkage should also be calculated. The average of the site-by-site differences among all consecutive 5-site runs (i.e., as produced between individual sites in runs1 and and between runs and 3 in the procedure in Section ) should be reported, as well as the 3 of these differences. For sign convention, always calculate this as final minus initial. These run-by-run differences should be reported independently. 3.6 Robustness of SEM Autofocus Functions and Stigmation Adjustment Some SEM tools have a less repeatable/robust SEM autofocus function and stigmation adjustment, which can contribute a major component to a measured precision. To test if this is an issue with a given tool, the following procedure can yield some insight: 1. Perform 10 static measurements on poly or 48 nm resist line, no autofocus or stigmation in between measurements. Perform 10 static measurements on poly or 48 nm resist line while performing autofocus (but no stigmation) in between measurements 3. Perform 10 static measurements on poly or 48 nm resist line while performing both autofocus and stigmation in between measurements This procedure should be performed on five sites for statistics. All autofocusing and stigmation adjustment must be performed on a feature such that any charging or contamination is not in the same region as the image used for pattern recognition or measurement. If an automated version for these parameters does not exist on a tool, it can be done manually. The parameter should be detuned by the evaluator, then the tool operator can tune it. To analyze this data: For each 10 datapoint trend, find the line of best fit and calculate the deviations of each datapoint from the line fit. From all 50 of the resulting deviations from each step in the procedure, find the 3 of the deviations. By comparing the relative values of the static repeatability (step 1), the measurement repeatability with autofocus (step ), and the measurement repeatability with autofocus and stigmation (step 3), the individual components in the repeatability due to autofocus and stigmation can be determined. An official AMAG Excel worksheet is available upon request from the AMAG chair. 3.7 Images of Measured Sites An image of each measured site from each performed precision test should be saved and catalogued for future reference in the data analysis spreadsheet. 3.8 Confidence Level of Precision Values As with any measurement, the calculated value of a tool s precision is subject to error. It is important to occasionally check the relative size of this error in determining the significance of any observed difference between tools. A simple calculation may be done that establishes the confidence interval about the calculated precision value from a test. It is based on the one-tailed chi-squared distribution. In MS Excel, the inverse of this function is chiinv(%_confidence, degrees_of_freedom). In terms of precision testing, the inputs are merely: %confidence = 0.95 for 95% confidence 5

42 6 Degrees of Freedom = df = (number sites in precision test) (number runs 1) The upper confidence limit (UCL) and lower confidence limit (LCL) factors can be found by applying these inputs to the one-tailed chi-squared distribution: UCL factor = df/chiinv(%confidence, df) (note that here the upper tail of the distribution is used) LCL factor = df/chiinv(1-%confidence, df) (note that here the lower tail of the distribution is used) Multiplying these UCL and LCL factors by the average variance in a given precision test leads to UCL and LCL limit values for the precision to the confidence level %confidence. Example 1: Consider a 5-site, three-run precision test (as is the standard in this CD-SEM specification), with an average variance over all sites of Desired confidence limits are 90%. df = 5 (3-1) = 50 UCL factor = 50/chiinv(0.9, 50) = 50/37.69 = 1.33 LCL factor = 50/chiinv(0.1, 50) = 50/63.17 = 0.79 Variance UCL = = Variance UCL = = Precision UCL = 3 sqrt(0.053) = Precision mean = 3 sqrt(0.04) = Precision UCL = 3 sqrt(0.03) = 0.53 From this example, it can be seen that for the 5-site/3-run precision test, which is the standard test in this specification, 90% confidence is within 1% on the low side and 15% on the high side of the actual computed precision. Example : Consider a 5-site, five-run precision test (as is the standard in the OCD/scatterometry specification), with an average variance over all sites of Desired confidence limits are 90%. df = 5 (5-1) = 100 UCL Factor = 100/chiinv(0.9, 100) = 100/8.36 = 1.1 LCL Factor = 100/chiinv(0.1, 100) = 100/ = 0.84 Variance UCL = = Variance UCL = = Precision UCL = 3 sqrt(0.053) = Precision Mean = 3 sqrt(0.04) = Precision UCL = 3 sqrt(0.03) = 0.55 From the above example, it can be seen that for the 5-site/five-run precision test, which is the standard test in this specification, 90% confidence is within 8% on the low side and 10% on the high side of the actual computed precision.

43 4 ACCURACY AND RESOLUTION This section highlights several accuracy-related metrics. Accuracy metrics quantify how well measurements from the TuT matches a set of measurements of the same features from a reference measurement system (RMS). The best RMS is a CD-AFM [19] [66] [73] or a cross-sectional analytical SEM (XSEM). These reference measurements could also be performed on a CD-SEM, for a simple test. However, CD-SEM reference measurements should first be tested by using a trusted reference measurement such as CD-AFM measurements or measurements from XSEM to calibrate the reference CD-SEM on a given target. Currently, there are no NIST traceable standards for accurate SEM CD metrology. Relevant process-level CD-SEM standards are under development, and a suitable standard and model will be available in the near future [0]. These will be based on using a CD-AFM for transfer metrology to a product wafer from the NIST single-crystal CD reference material (SCCDRM) sample, explained below. Note that traceable linewidth standards are available, but they are not directly relevant to the stacks in the typical production environment. What is available is the NIST SCCDRM, which consists of lines lattice-plane-selectively etched into planes of <110> Si. This fabrication technique yields true rectangular cross-section lines with perfectly vertical sidewalls (or as close as possible to perfect). Since the Si unit cell is known traceably through X-ray techniques, the measured width of these lines is known traceably through atom-counting techniques of high-resolution transmission electron microscopy (HRTEM) images of cross-sections [65]. These SCCDRM samples, in turn, can be used to calibrate the CD-AFM s tip width and shape to make it a traceable reference measurement system for transfer metrology with the ability to make product wafers traceable. This is currently done with the CD-AFM jointly run by and NIST, with an accuracy budget of ~1 nm [66] [73]. This is the reference measurement system used for accuracy calibration in the CD-SEM and OCD evaluation work. 4.1 Accuracy Testing Characteristics of accuracy are in the literature [1] [4]. An Excel spreadsheet is provided to analyze all the characteristics of accuracy testing and to ensure consistency and accuracy of the analysis. Six main metrics are evaluated for accuracy: corrected precision, non-linearity, slope, offset, ABW, and beam steering Image-Shift and Scan-Rotation Measurement Accuracy In normal CD-SEM operation, there is usually a tradeoff between stage accuracy and image shift due to beam shift. Typically, a CD-SEM allows 5 µm or more of beam shift for measurement. Furthermore, many CD-SEMs allow scan rotation at an arbitrary angle. The procedure described here therefore uses only one small pitch target (to minimize measurement error) that systematically samples the full range of beam shift and rotation. The test moves the scan throughout the entire allowed image-shift field in the X, Y, and diagonal directions for at least three measurement pixel sizes that span the working range of the instrument. A grating is used to evaluate the invariance of pixel calibration through nine positions throughout the useable imageshift field relative to the electron beam column axis. A single pitch position from the grating is used to evaluate pixel size variation throughout the 3 3 positional array covering the four corners and the middle positions of this field. The supplier must calibrate the CD-SEM under test once with the pitch specimen located at the column optic axis. This single pitch will be measured by the CD-SEM under evaluation at four rotations at each of these field positions. The four 7

44 8 rotations correspond to 0, 90, +45, and -45. The measurements are repeated for a 5 µm image shift beam test. The magnification in X, Y, and along the 45º degree lines can be readily measured if the CD-SEM permits wafer rotation. On a non-rotating CD-SEM stage, a calibrated pitch standard having different orientations, such as NIST Research Material (RM) 8090, will be used. The 3 variation of all the pitch measurements must be less than 1 nm. An official AMAG Excel worksheet is available upon request from the AMAG chair Corrected Precision, Non-linearity, Slope, and Offset Testing A selection of measurement test sites is chosen as a subset of the full sample defined in Section These sites should be evenly distributed across the available control variables to properly represent normal process variations. A map shows the exact position and measurement window size used by the RMS along with its error estimation. The evaluator describes the order the measurement fields to be measured. The RMS measurement values will be regressed against the test CD-SEM measurement values for the resulting ordered pairs. The Mandel regression [6] will be used according to the AMAG Excel spreadsheet. This regression analysis is different from ordinary least squares fit in that both the precision of the measurement system under test and the precision of the RMS weight the best fit. This weighting factor ( is defined as the ratio of the variance of the RMS to the variance of the system under test. The Mandel regression minimizes the following sum of the squares: SS i x x i y y i i i Eq. [3] where the x i and the x i are the i th CD-SEM measurement and best fit value, respectively. Correspondingly, the y s have the same meaning but correspond to the i th RMS measurement and its best fit value. The Excel spreadsheet provides the slope, offset, non-linearity, and corrected precision. An additional Excel spreadsheet provides the ABW accuracy metric (see Section 4.1.4). The target values for slope, offset, and non-linearity are 1, 0, and 1, respectively. The specification for corrected precision is defined in Section 3. The slope from the current section is the accuracy scale factor mentioned in Section 3.3. Figure 7 shows some Mandel regression of sample data between a CD-SEM and CD-AFM. The Mandel regression is calculated to intersect the regions of most dense probability around each data point; the data points have circles around them to demonstrate this error field.

45 Standard Regression: y = 0.975x R = Standard Regression: y = 0.945x R = CD-SEM (nm) Mandel Regression: slope = offset = 3.46 non-linearity = CD-SEM (nm) Mandel Regression: slope = offset = 5.19 non-linearity = AFM Reference Measurements (nm) AFM Reference Measurements (nm) Figure 7 Mandel Regression of Sample Data Between CD-SEM and CD-AFM Total Measurement Uncertainty Introduction to Applications for TMU Analysis in Scatterometry Evaluation 7 In its original form, total measurement uncertainty (TMU) analysis is a methodology for assessing the quality of measurement of an instrument. Included is a decision process, construction of relevant artifacts, and identification of an appropriate RMS. The analysis uses the Mandel linear regression where both measurement sets are subject to measurement uncertainty. When the RMS happens to have a small error compared to the measurement TuT, Mandel regression reduces to generalized ordinary least squares (OLS). In this case the TMU is the net residual error of regression analysis used in a calibration exercise comparing a TuT with an RMS. The key hypothesis of the TMU process is that with a carefully constructed set of calibration artifacts, all of the relevant relative inaccuracy of the TuT is contained in the Mandel regression net residual error. In a pre-algebraic form, the net residual error of a Mandel regression equals the non-linearity, or the relative inaccuracy, of the TuT plus the TuT precision and the RMS measurement uncertainty. Written in this pre-algebraic form, [Net regression residual error] = [relative TuT inaccuracy] + [TuT precision] + [RMS measurement uncertainty] The non-linearity in its capitalized form is a representation of the relative inaccuracy of the TuT. In a 1999 SPIE paper by Banke and Archie [1], the non-linearity is represented as a dimensionless quantity, namely the ratio of the net residual error variance to the sum of the precision variances of the TuT and the RMS. The non-linearity is therefore an F statistic. The statistical F test can be used to determine whether there is statistically significant non-linearity. 7 Contributed by Chas Archie and Bill Banke of IBM

46 30 The TMU is constructed to contain two sources of measurement uncertainty associated with the TuT: TMU = [relativetut inaccuracy] + [TuT precision] Therefore the TMU can be determined by solving the following pre-algebraic form: TMU = [Net regression residual error] [RMS measurement uncertainty] John Mandel s original formulation of the regression assumed only knowledge of the ratio of the measurement uncertainties associated with the two data sets. TMU analysis starts with a different prerequisite, namely, that the measurement uncertainty of the RMS is known. A first estimate for the TMU uses a reasonable estimate for the measurement uncertainty of the tool under test, such as its precision. The final estimate for the TMU is reached when the measurement uncertainty associated with the tool under test equals the TMU. In the current worksheet for TMU analysis this process requires iteration. The last section of this document provides mathematical details. There are several uses for TMU analysis. A partial list includes: 1. Algorithm optimization. Metrology instrument assessment 3. Target/CD correlation assessment All three of these are important in assessing the full potential of scatterometry technology. In the next sections each of these is further discussed Algorithm Optimization Algorithm is the mathematical operation that transforms raw data to useful metrics. Its precise nature depends on the nature of the metrology technology. For CD-SEMs, this is an edge detection algorithm, which picks the point of location of the edge from a waveform, the intensity profile as the beam is scanned across the feature (i.e., a linescan). Depending upon the application there may be several critical dimensions of interest. Edge detection algorithms can be decided to measure bottom CD, top CD, mid CD, etc. It is therefore helpful to optimize the algorithm by minimizing TMU values for the CDs of interest on wellcharacterized artifacts. This requires providing high quality reference data for relevant artifacts. A distinction should be made between reference data requirements needed for algorithm optimization and reference data for final instrument assessment. If all reference data is provided for optimization then the danger arises that an algorithm will be found that works well for these specific data, errors and all, and show worse performance for further measurements. A compromise is necessary where only some of the reference data is provided for optimization. A practical plan is to provide half of the available reference data for model optimization Metrology Instrument Assessment Some metrologists are interested in observing the CD-SEM capability of the algorithm with its first-pass assessment in addition to a TMU assessment after the algorithm optimization. This gives the metrologist bounds on the CD-SEM capability from its initial try of model formation compared to a reasonable ultimate capability.

47 31 As discussed in the previous section, to reserve reference data solely for instrument assessment allows the investigation to rate different strategies for algorithm development, thereby helping to determine the cost/benefit for the various strategies. An important consideration for instrument assessment is the number of reference data needed. More data generally allows for a better determination of the TMU. The use of 95% confidence bounds are a good general risk to the statistical estimates implying the estimate is incorrect 5% of the time. As described above, the non-linearity, or F statistic, is an indicator of the discrimination power of the regression analysis ability to separate the tool random errors from the relative inaccuracy of the TuT. The numerator of this F ratio is the net residual error determined in a Mandel regression with N ordered pairs of data. The precisions in the denominator of the F ratio may be determined independently, thus having a different sample size. For sake of simplicity, the assumption here is that the sample sizes for the numerator and denominator are the same. Since the F ratio is in terms of variances the following discussion is also in those terms. If the net residual error is 3X the combined input random error of the TuT and the RMS, a sample size of about 10 ordered pairs is necessary to discriminate the TuT relative inaccuracy from the random errors. A sample size of about 5 ordered pairs is required to be able to discriminate significant TuT relative inaccuracy for a net residual error of X that of the random errors. For given random errors, it is a simple calculation to see the size of relative inaccuracies that can be statistically determined. More examples are provided in Table 6. By taking a few examples and the F test table (Table 6), the impact of regression sample size can be realized in terms of nanometers of relative inaccuracy (non-linearity) discernable using the TMU analysis technique. In summary, the precisions of the TuT and the RMS obscure a real TuT inaccuracy if the sample size is not sufficient. Using the square root of the F ratio in the table above and assuming equal 3 precisions of 1.5 nm for the RMS and the TuT, the minimum detectable 3 non-linearities are about 3.6 nm, 3. nm, and 3.0 nm for numbers of ordered pairs of 1, 16, and 4, respectively. This is graphically shown in the dashed (dark blue) line in Figure 8 for 95% confidence. Figure 8 also shows the plot of the minimum detectable 3 non-linearity given two different input precisions for the TuT and the RMS where the precisions where each 1.5 nm and.0 nm. Note in going from a sample size of n = 1 to n = 16 there is an improvement of about 1 Å per sampling event, and then it took an additional sample size of 8 to achieve Å more of non-linearity discernment power. Also note that there is great benefit in reducing the precisions of the TuT and the RMS to better the chances of observing TuT non-linearities. Figure 8 shows the minimum detectable non-linearity to 95% confidence level for the case of equal input precisions of TuT and RMS. Table 6 F Test for 95% Confidence Level # of Data F Ratio sqrt F

48 3 Minimum Detectable 3 Non-Linearity (nm) Number of Ordered Pairs 3, NL (input 3 = 1.5 nm) 3, NL (input 3 =.0 nm) Figure 8 Minimum Detectable 3 Non-linearity to 95% Confidence Level Given Equal Input Precisions of TuT and RMS Related to the issue of RMS selection is the fact that an OCD scatterometer requires the measurement of a grating target. This measurement of the entire grating can be thought of as a macroscopic measurement similar to some electrical CD measurements or some other electrical performance metric. A CD-SEM or CD-AFM measurement has a microscopic probe, such that its measurement is on a comparatively microscopic scale (i.e., they are imaging instruments). If using the microscopic measurement technology, sufficient sampling must be done to appropriately compare to the scatterometer measurement by averaging the effects of LER or some other kind of across-grating variation. Vice-versa, a scatterometer is not an adequate RMS tool for discrete CD-SEM measurements; another imaging instrument is required, such as a reference CD-SEM or a CD-AFM. It is important for the reference measurements to match location of the TuT for these instruments, or much error can occur Target/CD Correlation Assessment In comparing different macroscopic measurements it is important to recognize that different targets (i.e., different pitches and possibly different substrates) may behave differently through the process variations used to create a set of interesting calibration artifacts. It is therefore an important consideration in evaluating the usefulness of the CD-SEM to recognize that the target properties may not correlate well to the critical metrics intended to be controlled. For example, some targets are located in the kerf, the region between chips (scribe lane), which is eventually discarded when wafers are diced into chips. If line characteristics behave differently in the kerf versus the chip, this can be problematic for the use of a target. This is another instance of the ongoing kerf target vs. in-circuit debate. TMU analysis can also be used here to resolve the issue for specific applications, for example, by using CD-SEM or CD-AFM measurements on both the kerf target and the in-circuit CD.

49 Mathematics of TMU Analysis Consider a set of (X, Y) pairs satisfying the relation Y Eq. [4] i X i and the corresponding measurements x Eq. [5] i X i i y Eq. [6] i Y i where x i and y i are the errors of the measurements. Let x and y be the standard deviations of these errors, respectively. John Mandel [5] [7] introduced the ratio: x y i Eq. [7] as a known quantity and proceeded to generate a linear regression by using Deming s method of minimizing the weighted sum of squares. Let Xˆ and Ŷ i be estimates for X i and Y i. Then the following quantity needs to be minimized with respect to each i Xˆ i and Ŷ i : S N i1 [( x Xˆ ) ( y Yˆ ) ] Eq. [8] i i i i subject to the conditions: Yˆ ˆ ˆ ˆ Eq. [9] i X i where the hat symbol denotes estimates derived from the regression. Using this last expression to eliminate Ŷ i from the expression for S (Eq. [8]) produces S N i1 [( x Xˆ ) ( y ˆ ˆ xˆ ) ] Eq. [10] i i i i which must now be minimized with respect to Xˆ, ˆ, ˆ i and. Mandel introduced several internal quantities to help simplify expressions: u N w N i i ( x i x) Eq. [11] ( y i y) Eq. [1] p N ( x x)( y y) Eq. [13] i i i

50 34 where x and y are the averages of the x i and y i, respectively. After carrying out this minimization, the regression slope is given by w u ( u w) 4p. Eq. [14] p The variance estimate associated with the estimate of the slope is given by ˆ ˆ u ˆ p w (1 ). Eq. [15] u ˆ p ( ˆ ) w The regression intercept is given by ˆ y ˆ x. Eq. [16] The square of the Mandel net residual error Mandel is defined as This can be shown to be given by N ˆ ( ) ( ˆ xi X i yi Yi ) i1 Mandel. Eq. [17] N w ˆ p ˆ 1 ( ˆ) u Mandel. Eq. [18] (1 ˆ ) ( N ) N Banke and Archie adapted the original Mandel work to a calibration exercise between an RMS (y) and a TuT (x). In adapting Mandel s original work, Banke and Archie introduced the non-linearity as the ratio of the Mandel net residual error squared to the predominately precision dominated measurement uncertainty of the measurements Nonlinearity u RMS Mandel ˆ Eq. [19] where TuT is the precision variance of the TuT and u RMS is the measurement uncertainty of the RMS which may contain both precision and accuracy components. The application of Mandel analysis to TMU methodology involves adapting the analysis to a calibration scenario where one of the data sets (typically y i ) are measurements made by a reference measurement system with known measurement uncertainty. Mandel is no longer known at the start. An initial guess can be the ratio of the precision variance of the tool under test (TuT) to the measurement uncertainty variance of the RMS: TuT RMS TuT ˆ 0 Eq. [0] u

51 The TMU is defined as the net residual error with the measurement uncertainty of the RMS removed: TMU Mandel u RMS 35 Eq. [1] The TMU is a better guess for the measurement uncertainty to be associated with the TuT. So a new estimate for Mandel can now be made ˆ TMU i Eq. [] u i 1 RMS Each time the estimate for Mandel changes the regression must be recalculated. This process must be repeated until ˆ ceases to change. An official AMAG Excel worksheet for TMU is available upon request from the AMAG chair at SEMATECH Recommended Values for TMU Uncertainty Component Considering the definition of uncertainty and the uncertainty values in Table 3, if the precision, matching, accuracy (TMU), and sample variation components were to each be quantifiable as half the ITRS uncertainty values, then the ITRS is satisfied. In some applications, some components can be discounted. For instance, in gauge studies with a closed sample set (i.e., the same sites are always measured), sample variation is zero. For single tool dedication, matching is zero. In real life manufacturing applications, sample variation is crucial since the set of samples to be measured is open (i.e., no sites repeat). The AMAG recommends that when tools are evaluated, each component should be controlled to half the ITRS uncertainty for each individual component, so that the tool will perform in all cases of real use. Note that accuracy is addressed in the other component of uncertainty. Besides precision, matching, and sample variation, there are other sources of measurement variation and inaccuracy, which are denoted by σ other. This term is dominated by inaccuracy but could also include cross correlations among the sources of variation already discussed. An example of such uncertainties could be the dependence of tool precision and bias on the set of samples used to estimate them. Another example is a long-term drift of tool-to-tool matching. Other important inaccuracy terms are sample-to-sample bias variation caused by secondary and often uncontrolled process variations and measurement changes as a result of the interaction of the measurement tool and sample (e.g., resist shrinkage, charging, contamination, buildups). These sample-related terms also depend on tool selection and are subjects for a long-term drift in tool tuning. Also, the way that engineering and physics constraints of the instrument cause the measurement to fall short of reporting what is actually desired from the measurand should be considered. For example, the top/down CD-SEM has weak or negligible sensitivity to the bottom linewidth of an undercut structure. Presumably the user will have some idea of the extent of such a situation and can estimate both the magnitude of the offset and the measurement uncertainty associated with it.

52 Apparent Beam Width and Asymmetry The apparent beam width (ABW) is a measure of the average edge width of a specific isolated line artifact determined from data acquired under the same e-beam operating conditions as used for the linewidth measurements in the entire CD-SEM testing. The asymmetry is a measure of the magnitude of the difference between the left and right edge widths. Two versions of the asymmetry metric are described: the dynamic asymmetry is sensitive to amplifier bandwidth and charging phenomena and the static asymmetry is sensitive to instrument alignment. A more detailed discussion of these resolution metrics is in ref. []. Within the metrology wafer set, one or more feature sites have been identified as ABW artifacts. These sites satisfy the general requirements found in ref. [1]. They should also be part of the set of sites chosen for accuracy testing according to Section 4.1. and precision testing. Other targets with vertical sidewalls can also be used. Waveforms or images (depending on the method of data acquisition used by the instrument) should be acquired using the same instrument settings used for the linewidth measurements for these other tests. If images are acquired, then line scans corresponding to a typical linewidth measurement box width should be averaged to produce waveforms. Data should be acquired for the following eight orientations of the wafer on the stage: 0º, 45º, 90º, 135º, 180º, 5º, 70º, and 315º. In each case, the beam scan direction should be oriented so the electron beam scans the line in the same way. As a shorter version of the test, this may be run at 0º, 90º, 180º, and 70º with five sites. The averaged results are computed after site-by-site calculations. When collecting these images and/or linescans, it is important to obtain the line profiles in decimal form. Figure 9 demonstrates the different scan directions and wafer orientations to perform the ABW test. L 180 R 0 R L L R R L Wafer rotation 0 Wafer rotation 90 Wafer rotation 180 Wafer rotation 70 Figure 9 Diagram of ABW Measurements by Wafer Orientation For the isolated line geometry, a region of data in the waveform is clearly associated with an edge (edge feature) and another adjacent region is baseline (baseline feature). Two locations are determined for each edge feature in the waveform. The top location refers to the maximum value or peak. The bottom location refers to the intersection of the linear regression of the edge feature data before the top and the linear regression of the baseline region. The edge width is defined as the distance between these two locations. The ABW is defined as the average of the left and right edge widths.

53 The summary ABW is the average of ABW values derived from the eight orientations. The 3 variation of these eight values is another important metric. Figure 10 shows an example of the waveform analysis in the ABW test Special Waveform Peak Guesses Left Baseline Outside Left Peak Fit Outside Right Peak Fit Right Baseline Valleys Quadratic Best Fit Peaks Figure 10 Example Waveform Showing Analysis of Edge Widths for ABW It should be noted that the ABW value will be a convolution of both the effective beam size and the sidewall of the feature. A vertical, well-behaved profile is important to assess the beam size. However, it may also be of interest to assess the effective beam size on whatever target is to be measured, such as resist lines. It should also be noted that since the ABW will usually be measured from waveforms that are the average of many different linescans, that roughness will also be convoluted into the ABW measurement. Roughness should be avoided where possible. If roughness is present, it may be useful to compare the ABW from the average waveform to a few sample waveforms from individual linescans. Also, a method to subtract out the roughness can be used; while not necessarily a mathematical law, it has seemed to be a reasonable approximation that the real ABW is the quadrature subtraction of the measured ABW minus the roughness, i.e., ABW real ABWmeasured LER Eq. [3] Static asymmetry values are determined for the following four orientations: 0º, 45º, 90º, and 135º by suitable averaging of the edge widths derived from the eight orientations. For example, the static asymmetry for the 0º orientation is calculated as follows: Static Asymmetry Edgewidth Edgewidth Edgewidth Edgewidth / Abs Eq. [4] 0 0, R 180, R 0, L 180, L where R and L refer to the right and left sides of the acquired waveforms. The summary static asymmetry is the average over the four orientations. The dynamic asymmetry is determined from waveforms acquired with the sample fixed, but the scan direction reversed. Edgewidth Edgewidth Edgewidth Edgewidth1 / Dynamic Asymmetry Abs Eq. [5] 0, R 180, R 0, L 80, L where R and L refer to the right and left sides of the acquired waveforms with scan direction reversal.

54 38 The official AMAG Excel spreadsheet 8 (ABW.xls) is available for analyzing the measurements Resolution and Electron Beam Performance The ultimate resolution obtainable under a given set of (generally ideal) conditions is one of the most quoted performance metrics from any SEM. This is often used as a figure of merit (FoM) for instrument purchase. Resolution is typically measured with the SEM set to the best parameter settings possible. Typically, it is a measurement of the width of a darker gap between two brighter gray spots on an almost binary image. This would be typical of any width measurement made in an SEM and, thus, is governed by the same caveats. Specific test samples are often used to measure resolution. Vacuum-evaporated 10 nm gold particles on polished carbon substrate or similar structure form the sample that is most often used for measuring the resolution in laboratory SEMs. Other types of structures are typically used to determine resolution in CD-SEMs because of the potential gold contamination. Comparison of the ultimate resolution of instruments is one (rudimentary) way of judging the performance of CD-SEMs, since a smaller number (i.e., better resolution) may mean a better instrument. Nevertheless, this method does not give information about how the instrument will behave on real structures. It does not say much about the actual size and shape of the spot where the primary electrons hit the sample or about the intensity distribution of the electrons across this area. Beyond these factors, it does not report the 3D shape of the primary electron beam, which can be envisioned as a slender double cone similar to an hourglass. This shape has a certain conical angle defined by the electromagnetic field in the space of the electron optical column, detector, and sample. The SEM image (as a first approximation) can be described as the convolution of geometry of the sample and the excited volume essentially defined by the shape of the primary electron beam and the sample composition. Usually the current of the final condenser lens is used to control the focus of the electron beam (e-beam) on the sample. Ideally, any perpendicular cross-section through the hourglass-shaped primary e-beam is a circular disk. If not, some degree of astigmatism is present and can generally be compensated for with the astigmatism controls. Skilled CD-SEM operators can set the focus and astigmatism acceptably, but automatic circuits that work with sophisticated algorithms do a better and more reproducible job. The repeatability in finding the correct settings and the stability of the electron optical column are crucial to good measurement results, especially for automated instrumentation. The ultimate resolution of the CD-SEM is related to the cross-section of the primary e-beam where it hits the sample. In an ideal case, the smallest area cross-section is at the point on the sample of interest. Provided other parameters are the same, smaller cone angle and smaller spot size are better. It is even better if these parameters are known and taken into consideration when dimensional measurements are carried out. Unless a clever algorithm is used, which does this automatically, incorrect focus settings (i.e., larger spot sizes [and larger conical angles] lead to poorer resolution and larger measurement uncertainty). Currently no CD-SEM is equipped with such an algorithm. Astigmatism can only be improved not totally eliminated; in practice, some degree of astigmatism is always present. Therefore, the D distribution of the electrons in the primary e-beam is not completely isotropic. This means that measurements in different directions could report different values. For example, the diameter of a perfectly circular contact hole is measured 8 Available upon request from the AMAG chair.

55 with varying values depending on the direction of the measurement. On high aspect ratio features, the angle of the cone of the primary e-beam may also be a concern. The amount of current focused into a given spot is also an important parameter. Therefore, an instrument with a somewhat worse ultimate resolution but higher beam current could actually be a better tool for SEM-based dimensional measurements, especially if one considers that these measurements are generally plagued by a poor signal-to-noise ratio (SNR). Higher current does have the risk of inducing a greater amount of contamination or sample damage, especially demonstrated on 193 nm resists. The image sharpness is clearly related to the spot size: a better-focused e-beam gives a sharper image. A sharper image has more sample-related high frequency components, if the sample has fine details that produce those high frequency video signal components. Dimensional measurements on sharper images or line scans result in smaller values, which are closer to the true values. The difference can be substantial: several nanometers. If there is no way of assessing and assuring the performance of the CD-SEM, less than optimal measurements will result. All SEMs, whether they are in the laboratory or on the production line, slowly lose performance as the instruments are used. Causes of this can be an increase in beam diameter and uncorrected astigmatism. Loss of performance can be due to additional contributing factors including misalignments, contamination, and increases in spot size. Measuring the loss in sharpness is one way to recognize this performance decrease. Similar instrument testing algorithms are now being added to production line instrumentation and should be routinely used by the operator to maintain the quality of the measurements. Another good source on the influence of resolution measurements on CD-SEM accuracy is available in the literature [77] Test Methodology There is no one best way for the measurement of the primary e-beam parameters. This is partly why the traditional resolution measurement is still being used. The resolution of the CD-SEMs is influenced by the setting of the electron optical column, like the beam current or apertures, and the sample, due to contamination or charging. CD-SEMs come with built-in samples that are used to align the electron optical column and adjust the focus and astigmatism. Additionally, the NIST RM 8091 sharpness reference material is a mm mm chip of etched Si grass that can be used with suitable software based on Fourier analysis of the image obtained on this sample. RM 8091 can be used like those samples supplied by the manufacturer or like a typical wafer sample on 150 or 00 mm drop-in wafers (also available from NIST). Because the individual chips might differ somewhat, using the same chip for all image sharpness measurements is recommended. Each chip has tens of thousands of measurement sites. Process samples, like resist and poly-si contact holes or structures small enough to show X and Y direction features on the same image, are also useful. Figure 11 shows the NIST etch grass sample and a commonly used gold-on-carbon resolution sample. The AMAG is currently attempting to produce full wafer versions of the NIST RM 8091 etch grass sharpness reference material. The original samples were grown by annealing a TiSi stack to many small islands, with the island structures being etched into the Si substrate. Solving this sample production problem will make testing of image resolution much more feasible and common in the semiconductor production environment. As an alternative, Qimonda has invented and donated a new resolution sample of porous Si. This wafer is a full 300 mm wafer, with the density of features necessary for good performance with 39

56 40 the SMART algorithm for resolution measurement. It also is made of materials that are considered fab-friendly (i.e., no contamination risk). This sample saw successful use in one CD-SEM evaluation in 007, giving results comparable with the results from a Au-on-C sample. More information on the fabrication of these samples is being sought, and further work characterizing their use is to be done in the future. One similar effort involves using nanoimprint lithography to directly print regular and random dot patterns and Fresnel zone plates for such resolution testing. This is underway in 010. a) NIST Etch Grass Sample b) Gold-on-Carbon Resolution Sample c) Qimonda Porous Si Resolution Sample Note: The NIST and Qimonda samples are ideal for sharpness resolution test, as it consists of many small, randomly oriented delta function-like features [77]. Figure Measurement Conditions CD-SEM Resolution Samples The system to be tested is set up with the same accelerating voltage, probe current, magnification, focus mode, and frame averaging as would be used for various critical layers in the production system. These may vary for each instrument and/or feature type. For tool-to-tool comparisons, measurements should also use the values recommended by the manufacturer with the following measurement conditions (if possible): Beam Current 5 pa, 10 pa, 0 pa Accelerating Voltage 500 V Field of View (FOV) 500 nm The beam current should be measured with a Faraday cup Measurement Procedures A database of information should be developed for each instrument. The initial performance of the instrument should be well documented and its performance accurately recorded. If data were taken at the applications laboratory before purchase, those data should be compared. There should be no obvious discrepancy between the two data sets. Following any service, routine data should be taken by the service engineer and compared to the pre-existing database to verify that the ultimate instrument performance has again been obtained. With time, the instrument performance could improve. The best data set obtained on that instrument should be used for comparison. Routine monitoring of the instrument s performance should be checked at some defined interval (daily, shift, etc.) and compared to the best data set.

57 Example Procedure 1. Start on the built-in sample used to set focus and astigmatism. Set these automatically and take one image at 500 nm FOV, save it as a non-lossy image like a *.tif file. Wait for minutes with the primary e-beam blanked and take a second image at the same location. Move to a new location; repeat the sequence except wait for 5 minutes with the primary e-beam blanked before taking the second shot. Record the electron dose for each image.. Start on the sample used to set focus and astigmatism automatically. Set these automatically again after moving to a location on a NIST RM 8091 Sharpness Reference Material sample. Repeat the image-taking sequence, and save the images. Record the electron dose for each image. Five images should be taken at 0 minutes at different locations on this reference material for statistical purposes. 3. Start on the sample used to set focus and astigmatism automatically. Set these automatically again after moving to a location on AMAG resist and/or poly-si sample(s) where dense contact holes or a corner of a structure that has features sized to have longer X and Y edges at 500 nm FOV. Repeat the image-taking sequence, and save the images. Record the electron dose for each image. In summary: Magnification: 500 nm FOV Accelerating voltage: 500 V and supplier s best V Beam Currents: 5 pa, 10 pa, 0 pa (10 pa is priority) Images on grass sample: 1. Five images at 0 minutes. One image at minutes on last of the five sites 3. One image at 5 minutes on last of the five sites Repeat images on an AMAG poly feature except only one image is necessary at 0 minutes Sharpness analysis (use Spectel SEM Monitor software) Blank the primary e-beam while waiting for the next image to be taken Data Analysis The focus and astigmatism should stay unchanged for longer times; therefore, no visible difference should be seen among the first, second ( min), and third (5 min) images. Image sets may vary slightly because of different current settings. Change in the focus (i.e., image sharpness and astigmatism) can be detected using RM 8091 and suitable software programs, like the Spectel SEM Monitor, the University of Tennessee SMART program, or other analytical procedures (Fanget, et al). The proper parameter settings for the analysis software have to be determined to obtain results that track well. For Procedure 3, the edges should exhibit similar profiles. These profiles should not change over time; again, no visible difference should be seen among the first, second ( min), and third (5 min) images or line scans. Dimensional metrology software like Measure can be used for comparing X and Y direction line scans taken from all 41

58 4 images. For valid measurements, depending on the noise level of the images, an average of several line scans can be used. Averaging too many lines into one line scan usually makes the resulting line less sharp; therefore, an optimum should be found. If the instrument has built-in resolution or image sharpness testing algorithms, then those also should be used to analyze the data. Note that AMAG evaluations to date have used the SMART software package, which is an implementation whereby the Fourier transform of images of the resolution target is mathematically calculated and analyzed. A description, discussion and the freeware coding of this software package is in Appendix B Depth of Focus Another important aspect of image quality that is of interest to some metrologists is depth of focus (DOF). While DOF is often assumed to be solely related to the cone angle of the incident beam and is thus often calculated analytically, one might want to physically measure DOF. For instance, micro-electrical-mechanical systems (MEMS) are usually large (compared to CMOS) structures, but they still must be measured to the same tolerances as CMOS. These structures may be quite deep, to the point that effects such as DOF, image tilting due to magnetic fields, etc., become acute. DOF is measured as a set of resolution measurements (see Section 4.1.5) with the target at different positions with respect to the focal plane. This may be thought of as the target being imaged at different z heights or z levels. If the focal distance of the CD-SEM s column can be adjusted in known increments, this measurement can be thus done as a set of images over several microns of distance on the resolution sample in Section A sample can also be made for this test; different regions of a wafer are etched to different depths through a series of flood exposures of different exposure fields with 0.5 µm to 1 µm etches. Then a procedure is employed to grow a resolution target-like texture on the surfaces, so that resolution can be measured at known focal planes. Targets such as these are currently being planned by the AMAG, although they have not yet been produced. 5 INTERACTION WITH SAMPLE Contamination and charging are two of the most important problems remaining in SEM-based IC metrology. While charging shows up as soon as the e-beam hits the wafer and contamination tends to build up more slowly, they act together to change the number, trajectory, and energy of the electrons arriving into the detector and to make it difficult to make good measurements. Contamination and charging are difficult to measure independently. In some photoresists, CD shrinkage upon exposure to electron dose can be a further confounding issue. 5.1 Contamination As the primary e-beam of any SEM scans a sample, molecules and atoms from the vacuum (and the sample) are activated, forced to move, and under usual conditions, are deposited on the surface of the sample. This process forms a carbonaceous material, a growing contamination that changes the sample. The contamination has two important effects: the electron emission is decreased, especially the emission of secondary electrons, and atom by atom, layer by layer, the features of the sample becomes wider or larger. Since six Si atoms put side-by-side are about 3 nm and measurements with errors should be about this value or less, it is important to know the

59 influence of contamination on the measurements of small-sized features. The change in the electron emission alters the video signal, which is used (after digitization) as input values for calculating linewidth. The linewidth itself changes during the measurement. The change of measured values due to contamination is a significant and variable parameter of the CD-SEMs. This parameter is not the same for all tools, because the rate of contamination depends on the current, accelerating voltage, and spot size of the primary e-beam and the cleanliness of the vacuum. The sample itself even if it is clean takes part in the process; therefore, the contamination measurements have to be done on several types of wafers in the fabrication process. Also the interaction between the SEM primary beam and the target could rearrange and eventually break several chemical bonds of the photoresist such that the pattern is permanently, locally modified when the first electrons land on the target. A correct evaluation and minimization of this effect is required for a proper measurement strategy on sub-180 nm features. It should be noted that the shrinkage of ArF (193 nm) photoresists will probably be far more significant than true contamination or carbon deposition effects. Vice-versa, the tests outlined here on etched Si targets will lead to a true measurement of the line growth due to contamination Procedure The following procedure has been developed to measure contamination deposition in the advanced CD-SEM. The advanced CD-SEM should show no contamination deposition during a timescale equivalent to the typical duration of the acquisition of the measurement information Wafer and Feature Description Several types of wafers and measurements should be used. Note that clean handling and preferably fresh preparation of wafers is important to avoid the effects of adsorbed contamination ( fresh, in this case, defined as never having been in another CD-SEM). If other wafer sets (e.g., SEMATECH wafers) will be used for these measurements, the time elapsed since the time elapsed since the wafers were freshly made should be noted and kept consistent, if possible. The samples for this test are as follows: Developed 48 nm resist on a Si wafer with 100 nm lines. The measurement sites must be at least 0 mm apart. Developed 193 nm resist on a Si wafer with 80 nm lines. The measurement sites have to be at least 0 mm apart. Developed 157 nm resist on a Si wafer with 80 nm lines. The measurement sites have to be at least 0 mm apart; this target may be obsolete, as immersion 193 nm lithography is the future. Etched poly-si wafer with 65 nm lines. The measurement sites have to be at least 0 mm apart. Future interesting samples could include EUV resist, SOI stack wafers, etc Test Methodology Both Method 1 and Method have to be executed. 43

60 Method 1 (static measurements) 9 Execute 5 static measurements (autofocus once off-target, then measure 5 times with no autofocus, but reacquire a fresh image for each measurement iteration) on at least five sites. Measurement locations should not have been measured or treated previously. A cubic polynomial fit will be made to the data, with the resulting coefficients reported. Other metrics are the range of measurements within 10 iterations and 5 iterations, the slope of the measurements within 10 iterations and 5 iterations, and k, the number of measurements within a given tolerance of the first measurement (in , this tolerance is 1 nm). For 193 nm resist shrinkage, pre-charging strategies are sometimes used. These schemes should not be embedded in the measurement strategy, although for this test, 30 static measurements should be taken and the data analyzed three times as above but with the following analysis conditions applied: 1. Find k as above with all measurements (i.e., strictly as above, standard procedure). Find k as above except disregard the first three measurements (they act as a pre-charge) 3. Find k as above except disregard the first five measurements (they act as a larger precharge) Method (long e-beam dwell time) 10 Determine the pattern and degree of contamination qualitatively by imaging the contaminated region at lower magnification. On one site from each sample, take the best photomicrograph at 50KX magnification, switch to 100KX magnification and perform three linewidth measurements within the shortest time possible. Take a photomicrograph at 50KX after the measurement. Repeat measurements after 1, 5, and 10 minutes, while keeping the beam on the same area (continuous bombardment) at 100KX magnification. Switch to 50KX magnification to take the micrographs. At the end, switch to 5KX magnification and take a micrograph to show the overall measurement site. If needed, compensate for the drift of the e-beam and the stage. Altogether on each type of wafer, take four measurements 1 site) and five micrographs 50KX and 5KX). Save all images in their digital form for off-line evaluation, and save the measurement data. Where available, record the raw scan line of the target (at 50KX) along with the micrographs (according to Section 8) to evaluate the degradation in image contrast. If this is to be done, images from five sites should be used instead of one. Plot the measurements during the experiment with time in seconds. The slope of a line fit yields the line growth rate in nm/s. This is a metric of contamination rate especially when the data are from the etched poly structure (recommended for this test; results from resist lines on this test are not as enlightening as method 1). This test is most appropriate on etched Si gate targets. Using the defined 500 V, 10 pa, 100KX (1 µm FOV) with the long dwell times on all tools to be tested should lead to consistent measurement of the line growth rate, which in turn is indicative of the vacuum cleanliness level. 9 Available upon request from the AMAG chair. 10 Available upon request from the AMAG chair.

61 Measurement Conditions Use the recommended best measurement conditions for linewidth measurements and record the beam current, accelerating voltage, and the measured values. Since the recommended parameters might be different for different SEMs, also measure with a 0 ±0. pa beam current (measured with a Faraday cup and a 0.1 pa sensitivity current meter) and 500 V accelerating voltage with the best possible focus and astigmatism setting on the etched poly-si target. If it is different, measure as described in Method 1 and Method at the best, recommended accelerating voltage and beam current. These are the accelerating voltage and beam current believed to be the best for the given CD-SEM. Using supplier-recommended accelerating voltage is the recommended approach for method 1, while a standard condition (500 V, 10 pa) is recommended for method. Determine the electron dose (D) for the individual measurements and for the whole process; this may include the search (pattern recognition) and focusing as well. Calculate the dose D = It/A values based on the beam current (I), time (t), and area (A) irradiated during this process. Report I, t, and It (applied charge). Dose units are charge per unit area, usually pc/nm Contamination Monitoring The Contamination Method 1 (Section ) can be used as a standard baseline in tool evaluation and qualification activities. A tool evaluation should be done using the standard beam condition of 500 V and 10 pa and possibly the beam condition used for any resist shrinkage tests. Throughout the evaluation, the evaluator should periodically perform the Method 1 tests on a couple fresh sites on a stable, non-volatile (non-outgassing) target such as etched poly-si lines on thin oxide: at the beginning of the evaluation, once per day throughout the evaluation, and before and after any photoresist shrinkage tests or any other work for which contamination is an important factor or a target is used that could potentially change as a result of its interaction with the electron beam (polymer materials, etc). The test should also be repeated at the end of the evaluation. The growth rate of the linewidth (i.e., slope of the measurements) is proportional to the level of base contamination in the system, hence this slope is the metric to track. A plot of this metric as a function of date and time can serve as a good monitor for tool cleanliness. The plot can highlight potentially contaminating events such as resist shrinkage tests; such monitoring would give certainty that the system cleanliness level stays consistent throughout the entire evaluation. Similarly, such a methodology, using one or more typical beam conditions, can provide daily monitoring for long-term baselining of production line tools. An SPC chart of the contamination slope on a standard sample can be maintained. A fresh site on a stable, non-volatile monitor wafer should be used for each measurement of this slope. 5. Evaluating e-beam Induced Shrinkage of Photoresists As photolithography has progressed to ArF (193 nm) photoresists, and is further poised to trend to immersion ArF lithography, photoresist shrinkage due to e-beam exposure will continue to be a key issue in CD-SEM metrology. The mechanism is that the interaction between the SEM primary beam and the target rearranges or breaks chemical bonds of the photoresist such that the pattern is locally and permanently modified when the first electrons land on the target. A correct evaluation and minimization of this effect is required for a proper measurement strategy on ArF resist features of any size, as this resist shrinkage introduces significant measurement uncertainty 45

62 46 of these resist features. Shrinkage effects are highly dependent on both the formulation of the photoresist to be measured and the CD-SEM measurement conditions to be used. Use of nonoptimized conditions can mean as much as 5 10 nm of measurement uncertainty on the first measurement, since the CD-SEM cannot, with good certainty, by itself give a good estimation of the shrinkage occurring during the first exposure to the e-beam [8] [9]. Subsequent measurement ( nd, 3 rd, etc) also will have shrinkage effects, although they are not as large as the one during the 1 st dose. These, however, dominate the measurement precision by adding in a large trend. Precision values of 3 nm are the result of a shrinkage trend of 1nm per dose. The trend tends to act as an exponential decay. The literature has provided some explanation of why this is so [30]. Main CD-SEM conditions which effect resist shrinkage are the e-beam accelerating voltage and dose (dose is defined in Section to be dependent on beam current, e-beam exposure time, and irradiated area; D = It/A) Measuring the Shrinkage During the First Dose with CD-AFM The Contamination Method 1 test in Section is adequate to measure the shrinkage during all but the first electron dose. To measure the shrinkage during the 1 st dose (i.e., the zeroth measurement ) requires the use of a reference tool that does not influence the measurement target in the process of measuring. The CD-AFM is ideal for this. CD-AFM measurements can be performed before and after the e-beam dose to give a non-biased estimate of the shrinkage. A reference site, which must never be exposed to e-beam, must be used to offset any tip differences between the two runs of AFM measurements. An example of this technique can be found in the literature [8]. According to Section , contamination checks may be included before and after such shrinkage tests, with reference to a known baseline, to ensure that the cleanliness of the vacuum system is typical and at the baseline level. Keys to success of this methodology is verifying that the navigation of the CD-AFM is reproducible enough to be certain of measuring the same target that the CD-SEM measured. Another is to be aware that CD-SEMs may dose an area larger than the area of the planned measurement image; this is called stray dose (in 010, stray dose is understood to be due to backscattered electrons) and care must be taken that the CD-AFM reference site is outside of this region, or the reference site may unintentionally shrink to some extent, thus masking some of the shrinkage from the CD-SEM. The steps in this technique are: Measure sample before dose (X1) and after dose (X) with CD-AFM Also measure reference locations before (Y1) and after (Y) electron dose (reference sites do not get electron dose) Counteracts change in tip calibration between runs For each site, before and after dose, measure delta between CD-AFM measurements of site of interest and reference sites (1 = X1 Y1, and = X Y) Calculate difference of deltas from before and after dose (1 ) Result is a measure of shrinkage during first dose

63 Photoresist Shrinkage Phenomenology Background With each new lithographic generation, new photoresists have been formulated and used. With KrF (48 nm) and ArF (193 nm) lithography, chemically amplified resists (CARs) came into use, creating a challenge for critical dimension-scanning electron microscope (CD-SEM) metrology due to the phenomenon known as resist shrinkage (i.e., line slimming). Currently, the physics/chemistry theory to explain shrinkage is that e-beam exposure is responsible for the loss of carbonyl groups. This suggests that the interaction of electrons and resist polymer causes an ester group to cleave from the main chain, resulting in volatile products and line shrinkage. The greater shrinkage in ArF resist layers than in KrF resist layers is related to the increase in the mass of cleavable groups (10% to 30% in KrF to 40% to 80% in ArF) [30]. Unless resist chemistries fundamentally change, shrinkage will continue to be an issue, to varying degrees, with SEM metrology. Thus, for the fab metrologist, the mechanics of the shrinkage trend and ways to predict and/or minimize it become of interest, as resist shrinkage introduces significant measurement uncertainties. Shrinkage effects are highly dependent on both the formulation of the photoresist and the CD-SEM measurement conditions. Non-optimized conditions can mean as much as several nm of measurement uncertainty. The most important consequence of this initial (0 th ) resist shrinkage is the resulting CD bias, which is an unknown systematic source of error when evaluating tool uncertainty, i.e., a bias to accuracy correlation [74]. Subsequent measurements (second, third, etc.) also induce shrinkage, although not as great as the shrinkage from the first dose. The CD trend exponentially decays with dose. This continuing systematic trend in consecutive data points mathematically dominates the calculation of 3 precision estimations, such that trend removal is needed to determine the true random component of the uncertainty. The random component is of most importance to manufacturing, since a production wafer usually will be measured only once Classical Shrinkage Model A model for resist shrinkage, derived elsewhere [71], is used to curve-fit to shrinkage data. Curve-fit parameters allow for metrics quantifying initial critical dimension (0 th CD) before e- beam exposure and shrinkage amplitude and rate. Trends in these parameters are explored as a function of resist formulation and other parameters. The ability to know the 0 th CD is most desirable. Recently in another work, the use of such extrapolation to solve for a given 0 th CD value was experimentally validated by CD-atomic force microscopy (AFM) reference metrology by the method in Section 5..1 [71]. A good reference that explains the observed exponential decay is by Habermas et al. [30]. In his model, several realistic assumptions were made: x material shrinks by constant factor in time t when exposed K is the kinetic reaction rate of material shrinkage under e-beam Excitation Volume = Grun Range = R G [m] = 0.046/ V 1.75 where is material density in g/cm 3 (CAR resists: 0.9 g/cm 3 ) All material within e-beam range is shrunk in one exposure After one exposure, more unshrunk material is exposed to e-beam

64 48 Lateral sidewall penetration: some fraction f sw of R G, Constant density This model is modified slightly to also assume linewidth growth with dose due to contamination; i.e., the Classical Shrinkage Model. The solution is a first order ordinary differential equation with boundary conditions: CD(n) = C + A exp(- n) A + B n The parameters have the following dependencies: N is number of doses C is original (0 th ) CD at n = 0 (or pre-pr) A depends on shrinkage factor and R G (and V acc ) Decay rate depends on shrinkage factor, kinetic rate constant, and dose per measurement (and thus integration time, I probe and pixel size) B is contamination linewidth growth rate per dose The result of the equation above is used to curve-fit shrinkage results to estimate 0 th CD C, total shrink A, and decay rate. Curve-fits are executed on CD data representing the average of the CDs of four or more lines to suppress noise. The curves are fit by minimizing the sum of square of the residuals between fit and data using Excel Solver. A small dose often irradiated the samples before the first measurement for pattern recognition and/or measurement image placement purposes; in such cases, these were carefully held consistent and accounted for, such that the 0 th CD was the CD at a small negative value of n Improved Shrinkage Model A thorough experimental study used the curve-fitting techniques above on lines of various dry and immersion photoresists of a wide variety of CD sizes [71]. Results exhibited a CD size effect for high beam energies that was much more significant than any other parameters and was shown to occur consistently for different resists. There can be only one explanation: the classical shrinkage model explains the behavior of the large lines, but this behavior changes when the lines get smaller, becoming approximately the size of the beam excitation volume. One assumption that is implicit in Habermas approach is that the line is much wider than the lateral sidewall penetration. When this is no longer true, shrinkage behavior drastically changes, becoming non-classical. Figure 1 illustrates how the beam shrinks different sized lines during the first scan. Figure 13 shows the final equilibrium state after many scans. The theory can be summarized as follows. In the first scan, Big lines: outside layer accessed by beam and shrunk Medium lines: outside layer accessed by beam and shrunk Small lines: most or all material accessed by beam and shrunk

65 After many scans, a final equilibrium is reached: Big lines: Beam cannot access any non-shrunk material in the core of the profile. Larger and slower shrink, where some resist in the profile core is never exposed to electrons. Equilibrium reached when shrunk region ~ R G. 49 Medium lines: Moderate 0 th shrink with more apparent shrink, and all material is eventually shrunk by e-beam. Total shrinkage is resist-limited (i.e., limited by exhausting supply of non-shrunk material). Small lines: All material already shrunk in a few or 1 scan, as the beam instantly accesses entire profile. Shrinkage rate is accelerated with a large 0 th shrink and little apparent shrink. a) b) c) Figure 1 Shrinkage During First Scan of e-beam on a) Big Line, b) Medium Line, and c) Small Line a) b) c) Note: Final equilibrium state (after many scans) of a a) big line where the beam cannot reach the profile core and the shrinkage reaches equilibrium; b) medium line where there is not enough resist to sustain the shrinkage to the full delta and all resist is eventually shrunk; and c) small line where the e-beam permeates the entire profile and greatly accelerates shrinkage. Figure 13 Final Equilibrium State Implications of the Improved Shrinkage Model Immersion lithography has reached the threshold of small size effects, where small resist features shrink much faster and in different amounts than larger features, due to the CDs being comparable or smaller than the size of the electron beam s interaction volume. This implies a variable shrinkage amplitude and rate that depend on CD size, so that accuracy bias offsets change with a nominal CD. This will complicate optical proximity correction (OPC) characterization, where accuracy is important and the CD latitude is quite wide. Lower beam energies should help counteract the effect of different shrinkage rates. In the original work [71], 300 V was the equilibrium between constant shrinkage and resolution and noise, better than 500 V and much better than 800 V. At future technology nodes and smaller features, ultralow voltage microscopy may be necessary. However, using a low dose is also still a possible solution. Also, such lower voltages may introduce other competing problems, such as deteriorated noise or resolution, such that the question must be answered whether a trade-off is worthwhile on any

66 50 given toolset/material setup before considering lower voltage the better condition. This CD dependence has many other implications. Isolated trenches and contact holes in ArF resist have always appeared to shrink more, with a broad shrink that lasts many measurement iterations, confounding good raw precision values; this is nothing other than the big line behavior shown above. Likewise, dense contact holes show poor raw precision due to accelerated growth, which is consistent with the small line behavior described above, as dense contact holes are separated by narrow lines. Similar shrinkage acceleration phenomena should also occur with ultrathin photoresists, if resist thickness and feature height becomes comparable to the interaction volume. This framework also gives a clue to choosing better precision metrics with these shrinking materials. Since the shrinkage curves of different sized features are not constant, trend removal based on an average trend (see Section 3.3.1) might be problematic if the process window of features is too broad, such that the site-by-site trend removal in Section might be a more descriptive metric for precision with broad process windows. As for choosing voltage and dose settings to achieve the desired precision, many end users employ high beam voltages to make the shrinkage repeatable. With small features, the CD effect works against this, making trended precisions much worse than with smaller voltages, because at higher voltages the average trend may itself greatly change shape at different parts of the process window. Also the 0 th shrink varies substantially when likewise changes substantially, so that high doses with high voltage solutions for good precision in manufacturing will have poor accuracy. Achieving neither good accuracy nor good precision defeats the purpose of performing the measurement. Accuracy is always desirable and necessary for activities such as OPC characterization. Also note that merely achieving good precision is not important if the accuracy bias changes for different CDs, elevating the importance of accurate 0 th CD values. Thus, the low voltage, low dose solution is the most desirable approach. Manufacturers must look beyond conventional metrics of raw precision in this case. All the photoresists examined in [71] behaved in the same manner. Lithographic sensitivity did not seem to be a major parameter in resist shrinkage; the fraction of cleavable groups such as esters is still possible. Different basic formulations do shrink with different amounts and rates. This is because each has a different shrinkage factor a kinetic reaction rate k, and mass density (which influences R G ); these material parameters, along with CD (and probably SWA and height) of the profile and SEM parameters such as voltage, current, and dose (etc.) seem to define the shrinkage behavior. This shrinkage behavior can, in turn, be characterized by parameters C, A, and, which allow the solution of 0 th CD. These parameters can be solved if the data are not too noisy, if all doses are accounted for, and if the curve is gradual enough to show several data points of trend. Good extrapolation requires several points of non-trivial content that are not constant; otherwise, the 0 th CD can be underestimated. Extrapolation of 0 th CD can be a powerful tool for achieving accuracy with these shrinking materials. Work is underway in simulating these effects, taking 0 th CD extrapolation a step further, and looking into new metrics for defining precision and matching on shrinking photoresists Quantitative Shrinkage Models The AMAG has supported an important effort in modeling the photoresist shrinkage phenomenon, not only to better understand the mechanics of how different features shrink, but mainly to quantitatively predict (after case-by-case model calibration) the shrinkage of a given

67 material under a set of given CD-SEM beam conditions. This could provide effective compensation for the inaccuracies caused by shrinkage, thus improving the accuracy of OPC measurements. In 009, the results of a 1D model of the shrinkage at the bottom of a thick photoresist line are available in the literature [78]. This model achieved good accuracy in predicting shrinkage within the bounds of its assumptions. The model breaks down when photoresists get too thin, at thicknesses that are becoming more common with immersion lithography, requiring a D full profile model to be developed.such an extension to the model, applying it to the full profile, was built later in 009 and into 010 [81]. The D profile model is also important as it represents the full shrinkage effect of the action of SEM beam across a linescan over a photoresist feature, including estimations of the effects of sample geometry parameters such as CD, height, SWA and pitch (assuming a trapezoidal profile) and relative contributions of the shrinkage due to both the primary beam impacting the sample from topdown and from backscattered electrons from the surrounding substrate and neighboring features impacting the profile s sidewall. Understanding the full effect along a linescan, in turn, gives clues to how shrinkage can be modeled over an entire image, including imaging of 3D contour edges. Details of the D profile shrinkage model can be found in the literature [81], but the highlights are included below. The key elements of this D model are as follows: The model includes parameters for a fraction of volatile material and kinetic rate constant. The shrinkage rate is proportional to energy absorbed and fraction of material that is rendered volatile by electron beam exposure. Features have trapezoidal profiles and are divided by D finite element methods to consider the spatial distribution of the dose within the feature. The granularity of the pixelization of the profile is driven by the pixel size in the simulated CD-SEM. Casino Monte Carlo simulation assumes a continuously slowing down approximation; Mott cross-sections for elastic scattering calculated using relativistic Hartree-Fock-Slater atomic potentials; and modified Bethe formula for stopping power, yielding maps of the spatial distribution of energy deposition in the electron beam s interaction volume. Dose which may contribute to shrinkage may be due to either primary electrons directly impacting the profile from top-down (which causes BSEs and SEs to shrink resist within the interaction volume) or BSEs from the nearby surrounding environment. BSE dose components include the BSEs resulting from the primary beam hitting either the BARC surface between lines or from the neighbor line or from the feature of interest itself. SEs from nearby environment, i.e., outside the feature, are too low energy to penetrate line significantly, thus only BSEs can affect sample significantly. Key results and implications of the D shrinkage model are as follows: 51

68 5 The -D photoresist shrinkage model can predict the shrinkage behavior for the first several data points of real CD-SEM data with some success and better than the 1-D model. The model can be fit to physical CD-SEM data to solve for basic parameters that describe the shrinkage behavior of a given photoresist with given fixed set of CD-SEM conditions. We furthermore believe this model can be calibrated to real data to predict 0th shrink. Experimental data demonstrated that the model predicts 0th shrink closer than curve-fits with equation 1, but is still approximately 0.5 nm to 1 nm low. A solver needs to be coded to make this calibration process more robust. There are many parameters in the -D model. Finetuning a set of codependent shrinkage curves for,, and range, and then finetuning each individual curve for initial bottom CD, height and SWA is a laborious process with maybe too much play in the solution to do manually. Further validation efforts are underway. The CD effect is further confirmed, and a height influence is such that when a resist profile is of comparable height as the penetration depth of the primary beam, accelerated shrinkage of the full profile is achieved. This is ever more important with the thinner photoresists in use in current immersion photolithography. The model gives us some key understanding of the phenomenon, including a more quantitative estimation of the effects of profile SWA on the shrinkage curve and the large contribution of BSEs in photoresist shrinkage. The SWA effect is shown to be the greatest geometric parameter in changing the 0th CD shrink. Since SWA is often not known due to lack of reference metrology, unknown variation in SWA can cause shrinkage variations that dominate over other factors. This is a parameter to which the CD-SEM is insensitive, and this unknown convolutes substantially into the shrinkage behavior. In most shrinkage experiments, the reference metrology for the SWA is not available, and thus many shrinkage experiments in which a significant SWA change across the process window will contain 0 th shrink changes unknown to the user. For instance, a key part of OPC measurements is to measure linewidth as a function of pitch. Because SWA usually changes with pitch, the shrinkage might appear to change with pitch as a result. This shows the importance of thorough reference metrology for these cases. In general, vertical profiles shrink least within the first measurement, and as the profile is more sloped the shrinkage increases gradually; but shrinkage during the first measurement increases very rapidly as the profile becomes re-entrant. Both the primary and backscattered contributions are significant; at 800 V beam the primary beam dominates, at 300 V the BSE contribution dominates, and the two contributions are competitive at 500 V. This is a fascinating result with many important implications. First, this finding explains why lower energy beams shrink the features disproportionally more than the Grun Range would predict. BSE effects of such significance also explain the stray dose phenomenon, as shown in Figure 14. Many CD-SEM metrologists have observed this and interpreted it as beam blanking issues or overscan of the intended image area or the beam having a diffuse spot that sent some dose outside the intended image region. But the BSE results provide a plausible explanation to this, which is supported by the fact that the shrinkage always stops laterally at the first edge of the line outside the image area and does not extend into the other edge, since the BSEs are absorbed into the line, thus protecting the other lines. Most importantly, these results provide a possible candidate for a scanning strategy to truly minimize shrinkage. The model shows that the low energy beams (like 300 V) shrink mainly through BSE effects and that these BSE effects are mostly due to the BSEs from all the pixels within the space between the lines. Note that these pixels are not really part of the measurement, beyond defining a baseline for edge detection algorithms and providing the background for the edge contrast. Thus,

69 a scan at the lowest possible energy over a narrow image region over a line, containing as little of the space as possible, should minimize the BSE effect, and thus minimize the CD shrinkage. This has not yet been experimentally tested, but will be soon. 53 Note: Where shrinkage is observed beyond the intended image region. The BSE effect results explain this. Figure 14 Stray Dose Phenomenon Finally, the D model is a full representation of the physical shrinkage along a single CD-SEM linescan. It may be possible in the future to link series of such parallel scans to estimate the shrinkage effects on 3D shapes, which could help solve a key component of error in contour metrology. One observation from this model that is relevant to contour metrology is that the standard scheme for imaging contours is to use square pixels of ~1 nm. The model shows that the shrinkage effect should spread between adjacent linescans with this scan density so that the amount of shrinkage should be greatly amplified, as physically observed by various practitioners. Improved scanning strategies might need to be considered to improve this situation Measuring the Carryover of Resist Shrinkage Into Etched Structure Another philosophy of considering the resist shrinkage problem is to not be so concerned with the measurement before etch, but to minimize the fingerprint of the measurement after etch. The strategy is to Focus on the CD shrinkage carried over to the post-etch pattern (not just at the resist pattern shrinkage itself), then to find an optimal CD-SEM condition to virtually eliminate the shrinkage carried over to the etched pattern. This was demonstrated at Intel [31] and further explored at SEMATECH [3]. The procedure used here is to 1. Choose a unique 193 nm (ArF) resist pattern. Choose a set of CD-SEM measurement conditions (different beam voltages and doses, etc.) 3. Measure the resist pattern at above SEM conditions on unique locations for each condition 4. Etch the CD-SEM measured resist pattern 5. Measure the etched pattern at both CD-SEM dosed and un-dosed locations (with a fixed SEM condition) 6. For each condition, calculate the average and standard deviation of the CD measurements; also find average and standard deviation of un-dosed sites

70 54 7. Compare the results to determine the CD shrinkage carryover effect for each condition 8. Choose the best condition for resist measurement based on minimum post-etch fingerprint; preferably, the average CD from one condition will be within the limits of the process variation observed from the population of measurements of un-dosed sites (i.e., within the standard deviation from the average of the undosed sites) Figure 15 shows example images of lines with and without etch carryover due to e-beam dose. Note: Left: Etched line showing no CD shrinkage carryover at location not measured at pre-etch step. Right: Etched line showing CD shrinkage carried over from pre-etch SEM measurement at 800 V. Figure 15 Sample Images of Post-Etch Shrinkage Carryover Effect This technique allows the user to determine an optimal SEM voltage to reduce resist CD shrinkage and eliminates device damage from SEM measurements. The technique is extendable to the next technology nodes where sub-193 nm resist CD shrinkage remains an issue (immersion lithography is extending the life of 193 nm resists). This could also be another method to benchmark different CD-SEMs in resist shrinkage, as long as the work is on the same wafer and etched together, although it should be used in conjunction with the other tests in this section. The plan for this study would be: For each SEM model, determine a minimum shrinkage carryover voltage/dose Evaluate capability parameters at the optimal voltage However, there are also some concerns with using this methodology [33]. While shrinkage carryover can be virtually minimized under optimal SEM measurement conditions, it may still be true that the ArF resist layer always suffers some amount of shrinkage. Shrinkage carryover observation may depend on ArF resist types. Shrinkage carryover may depend on the etching process involved. Shrinkage carryover may also depend on the substrate layer used.

71 55 Under a certain combination of conditions used for etch and lithography, in combination with parameters used for the CD-SEM, the transfer to etch might be minimized in a way that it is close to or even greater than zero (compare ArF e-beam curing). Minimizing the shrinkage (initial conditions to measurement) has clearly been a priority over the transfer optimization; even this might give additional information about the device impact introduced by the measurement. 5.3 Charging As the SEMs primary e-beam excites the sample, low energy secondary electrons and higher energy backscattered electrons are emitted from the sample. These electrons move under the influence of an ever-changing, non-homogeneous electromagnetic field at the sample and in the sample chamber. The power of this field can be substantial; it essentially changes the image of the sample, especially if the sample is not ideally conductive. The original charge distribution of the sample starts to change as soon as the e-beam hits the sample and can stay altered for a long time. However, none of the wafers in IC fabrication can be considered ideally conductive; therefore, measurements are often done in the presence of disturbing electromagnetic fields. An isolated IC line will look and measure differently from exactly the same size linewidth neighbors. Also, the same size line of different material or with different material under it will give different linewidth values in the SEMs. Since the measurements of IC lines and contact holes have to yield results of 3D structures, various schemes for extracting electric field are applied to get better results. The variance of measured values, due to charging, can reach several 10s of nanometers; therefore, it is a significant parameter of the CD-SEMs. This variance is not the same for all SEMs, because the effect of charging depends on the current, accelerating voltage and spot size of the primary e-beam and the design of the specimen chamber. Charging also depends on how fast the primary e-beam scans the sample. The sample itself, even if it is conductive enough to allow measurements, affects the process; therefore, the charging measurements have to be done on several types of wafers Procedure The following procedure was developed to characterize charging in advanced CD-SEMs Wafer and Feature Description Wafers used for the charging test are the same as in Section Five measurement sites must be measured. Freshly prepared wafers are essential to avoid the effects of adsorbed contamination (fresh as defined above in Section ). If another wafer set (e.g., wafers) will be used for these measurements, note the time elapsed since the wafers were freshly made Test Methodology Even though charging is the key problem in SEM-based linewidth metrology, it is not easy to characterize. These measurements are meant to test the extent to which the measurement results are prone to beam current variations and the previously applied charge Method Investigate the effect of charging on measured linewidth values by measuring at higher currents followed by lower currents at the same five locations of Pattern 1, and lower currents followed

72 56 by higher currents other sites of Pattern 3 of the same wafer. The measurement sites and patterns have to be at least 0 mm apart. Measurements have to be performed on both isolated and dense line structures (Pattern and Pattern 4). These requirements help determine the influence of previous measurements (i.e., charge applied to the wafer). Make measurements with the best measurement conditions within the shortest period of time possible. Keep the e-beam on only as long as necessary to make valid measurements. Four currents will be used ( 5 pa, 10 pa, 15 pa, and 0 pa). If these actual currents are not within the allowed range on a given tool, appropriate changes can be made. If several tools are being benchmarked, pick current values common among the tools whenever possible. Start this procedure with a 0 pa beam current (measured with a Faraday cup and a 0.1 pa sensitivity current meter), and measure the five sites. Change the beam current to 15, 10, and 5 pa ± 0.3 pa beam current and remeasure the same five sites. Use a 500 V accelerating voltage at 1 µm FOV, with the best possible focus and astigmatism setting. Repeat the measurements for dense structures. If a 500 V beam setting is not optimal for a given target, make measurements at the best recommended accelerating voltage (both isolated and dense structures at Pattern 5 to Pattern 8). This is the accelerating voltage believed to be the best for the given CD-SEM. Again, start with the highest current, and then do the procedure in reverse order. If there is no way to arrange eight patterns on one wafer, use a second wafer made with the same process. It is essential to execute this procedure as quickly as possible because the injected charge will eventually disappear. Record the time elapsed between current changes. An official AMAG Excel worksheet is available upon request from the AMAG chair. Give the electron dose (D) used for the individual measurements and for the whole process, including search (pattern recognition) and focusing. Calculate the dose D = It/A values based on the beam current (I), time (t), and area (A) irradiated during this process. Report I, t, and It (applied charge) Sampling Plan The following sampling plan, as shown in Table 7, is recommended for this procedure. Perform measurements with four patterns on a single wafer using a different current (and voltage) at each site in the order shown. Again, start with 500 V and 0 pa at the five sites of Pattern 1, change the current to 15 pa and go back to and re-measure the width of the line at the same five sites (~ 00 nm in the case of a resist and poly-si sample). Proceed with 10 and 5 pa. Go to all five sites of Pattern 3 with 5 pa change to 10 pa, and remeasure the same five sites, then proceed with 15 and 0 pa. The same procedure has to be performed with dense line structures (Pattern and Pattern 4). If the best recommended accelerating voltage is different from 500 V, repeat the above procedure with that voltage as well (Patterns 5, 6, 7, and 8). Table 7 Order of Measurements (1 16) for Charging Measurements 0 pa 15 pa 10 pa 5 pa 500 V, isolated Pattern 1 5 sites 500 V, dense Pattern 3 5 sites 500 V, isolated Pattern 5 sites 500 V, dense Pattern 4 5 sites

73 Charging Data Analysis/Metrics Because different tools use different dosages within the measurement process and some tools affect CD as a result of these dosages, the ultimate (results-driven) metric for the net effect of charging is the change in CD from the first to last measurement for each tool in the benchmark on whichever given target. This is independent of the measurement dosage or charging control schemes used by different tools. One can plot the CD as a function of the total accrued dosage (sum of the dosage from a measurement plus dosages from all previous measurements of the same site) and calculate a slope of the line fit; such a slope demonstrates how well the tool limits these charging effects per unit dosage used. Another important metric is the final dosage at the site after executing the charging measurement procedure. This demonstrates how much dosage a given tool uses at the measurement target SYSTEM MATCHING System matching refers to the consistency of measurement output across multiple (either two or more) machines. Machines used in this type of analysis need not be from the same model or manufacturer, although this will affect the results and must be considered in the analysis. This matching specification applies to machines that have the same model number and thus the same hardware. Considerations of this methodology include minimization of sample degradation due to multiple measurement passes, sample plan design, test structure design, data analysis, and performance requirements. 6.1 Definitions and Assumptions In this specification, matching is a component of reproducibility as the term is used in the ISO literature [3]. A matching error is the measurement uncertainty arising from changing measurement tools. Matching is intended to reflect the measurement uncertainty experienced during production when it is necessary to treat all CD-SEMs as equivalent. The quantified matching values combine, in quadrature, with repeatability and single tool reproducibility to produce the total uncertainty in the measurement. The objective here is to monitor the matching performance of multiple tools as part of a program of statistical process control. The philosophy of multi-tool management is to take corrective action upon the CD-SEM only at a system level and only in an out-of-control situation or as part of preventative maintenance. Recipe-level corrections are unacceptable for matching. The traditional method of tool matching by slope and offset correction is not consistent with file server recipe downloads to multiple tools. Such methods also incur extensive documentation control to preserve traceability of time-, tool-, and layer-dependent corrections. This intensity of engineering attention is also not acceptable. Dedicated tools too are unacceptable as a method of matching error control. Accuracy is not within the scope of this discussion. As a result, correct calibration is assumed. Accuracy involves configuring the machine hardware, choosing the proper algorithms, and modeling for assigning offsets. It is not dependent on the individual machine.

74 58 6. Test Methodology The test methodology determines the range of average measurements among two or more SEMs as a measure of the uncertainty resulting from a change in measurement tool identity. The variance of individual sample measurement tool-to-tool differences will also be calculated and compared with the single tool precision. The measurements of precision, contamination and charging, linearity, and matching must be done under common conditions of wafers, algorithm, and SEM settings Wafer and Feature Description A sample or samples, which represent the production material to be measured, should be selected so that it contains the expected sample variation in CD, sidewall angle, and imaging. This sample may be a focus-exposure matrix. The substrates selected should represent layers that are critical to the process (e.g., patterned photoresist and etched polysilicon at the gate level) and those that represent the most difficult measurement issues (e.g., thick resist, sloped sidewalls, contact holes, etc.). The measurement targets should be equivalent to the targets used in production. 6.. Procedure Run the 5-site FEMs (see Section 3) for the process layers to be tested on each tool in the test. All recipes must be identical (same algorithm parameter files, beam conditions, measurement sites, etc.) Methodology for Simple (A-B) Two-System Matching Test For a quick evaluation of matching between two tools on a given target, measure 5 sites on a wafer on each tool using an identical recipe (identical beam conditions, measurement parameters, measurement locations, etc.) Also measure a pitch at each site in the same orientation as the CD measurements. These pitches can be used later to verify matching of the magnification calibration of each tool. In analyzing the data, calculate the site differences (tool deltas), defined as the difference between different tools reported CD measurements at each site. From these site differences, calculate the average and 3. The data from the second SEM should be corrected by the average ratio of the pitches between the tools if the pitches vary by more than 0.1% (although ideally, corrective action should be taken if the pitches vary more than this) Data Analysis For a simple two-system matching test, the difference between the systems for the individual measurements should be calculated and the average value compared to the specification. The variation of the differences, calculated using the double sample method, should be compared to the combined precision of the two systems (i.e., square root of the sum of squares of the corrected precisions of the two systems). Alternatively, the data can be analyzed using paired sample comparison techniques. Conversely, for multiple systems, a method such as Duncan's Multiple Range Test should be used [34].

75 Improved Matching Methodology for Simple Two (or more) System Matching Test Which Compensates for Unmitigated Sample Contamination (ABBA Matching Method) CD-SEM tool-to-tool matching at sub-130 nm feature sizes demands compensation for contamination or shrinkage to meet the tight requirements necessary at these technology nodes. The linewidth CD measurement matching tests need to balance the charge carryover effect when the sampling wafer is not cleaned after each recipe tool use. No wafer cleaning should be performed in the CD measurement matching test. Charge carryover is a unique issue to the CD-SEM system, no matter what kind of sample is used Overview of Procedure To prevent the charge carryover effect from biasing the matching test, the test procedure needs to be designed as crossover testing using two equivalent recipes (R1 and R) that measure the same types of features on the same wafer but sample on different locations or fields (chip sites). The recipes need to be run on the two pooled CD-SEM tools (Tool_A and Tool_B) in opposite order. Recipe R1 is first measured on Tool_A, and then on Tool_B. After that, recipe R is first measured on Tool_B, and then on Tool_A. This way, the R1 measurements carry the charging bias to Tool_B, but Recipe R has the charging effect carried to the Tool_A measurements. This measurement procedure is called the ABBA method versus the traditional AB or one recipe on each tool method. Assuming a constant charging effect C after each recipe tool used, in the ABBA procedure one can then combine the resulting CD values from recipes R1 and average each point per site per tool, with the same charging effect carried onto both Tool_A and Tool_B. Table 8 illustrates how the charging effect is balanced between the two tools. Table 8 Charge Carryover Balancing in the Matching Procedure Tool_A Tool_B R1 Run Order 1st nd Charge from R1 0 C R Run Order nd 1st Charge from R C 0 Total Charge/Tool (R1 and R) C C 6.3. Data Analysis During data analysis, the entire set of site CD difference values of all measured sites (the CD difference on each site between Tool_A and Tool_B) must be considered. The mean of this set of difference values (delta mean [ d ]) is usually the CD matching difference between Tool_A and Tool_B. However, the sigma of this difference set ( d ) may be even bigger than d itself, which makes it statistically invalid to use d to judge the matching between the two tools. When processes keep shrinking below 130 nm, it is important that the CD measurement matching includes the sigma term of the difference set. One way to use this information is to use the 95% confidence interval (CI) to judge the tool matching. That is, one can detect the true tool difference with 95% confidence. The CI formula can be expressed as follows: d t N N d CI 1 Eq. [6]

76 60 In the formula, N is the recipe sampling points per feature (number of die), and t N-1 is a statistical coefficient as a function of N-1 that depends on the alpha () and beta () values. The + and the formula give the upper confidence interval (UCI) and lower confidence interval (LCI), respectively. The second term is related to the sigma. To make the CI tight, the second term needs to be minimized. This is done by choosing a reasonably large sampling plan N, since the sigma is the true sigma of the difference set between the two tools, which should be relatively independent of the sampling plan size N. Depending on the technology node, N may need to be selected to ensure the second term is small enough to fit the matching specification. The delta mean d term itself can be tightened through better pitch-matching calibration. (When the pitch values between the tools are well matched within a spec, the delta mean of the linewidth may get changed, but it is the CI that gives the true linewidth CD measurement matching between two tools.) Choose = β = 0.05 (for 95% confidence) for the CI test. Table 9 lists a few t N-1 values for different N sampling plan. These values can be computed in Excel with the function t inv (0.05,N 1). With the availability of the Excel function, one could use, for example, 90% or 98% confidence by choosing = β = 0.10 or = β = 0.0, respectively. For = β = 0.05, as N approaches, the limit of this function is The second term of the 95% matching CI depends on N and d and is based on a t-distribution. When N gets larger than 61, the t-distribution approaches a standard normal distribution, as the t N-1 approaches the limit of In Table 9, ST is the second term of the CI formula, with two examples of d = 0.8 nm and d = 1.5 nm, which correspond to 3 of.4 nm and 4.5 nm, respectively. The second term of the CI cannot be ignored when the sampling plan is N = 5. Under N = 5, with d =1.0 nm, the ST is as large or larger than nm, which means a range between UCI and LCI of 0.86 nm (by multiplying to get UCI and LCI), which is as big as the 100 nm node spec of 0.80 nm. Therefore, the 95% confidence interval must be used instead of considering the difference of the means alone. For more than two systems, the matching can be similarly handled. Another option is to treat one tool as a reference tool to which all pooled tools are compared. Table 9 t Values for Different N A B C D E F G N t N t N-1 N ST ( d = 0.80 ) ST ( d = 1.5 ) Good at Nothing Still not good, may be 180 nm node 150 nm Node 130 nm Node 130 nm and 100 nm nodes 70 nm 70 nm 11 See the OMAG Excel sheet for more details.

77 6.3.3 Summary for Setting up ABBA Methodology 1. Measure a set of sites first on Tool_A and next on Tool_B with the same recipe R1.. Measure a set of sites first on Tool_B and next on Tool_A with the same recipe R. Should be same sites but further down the feature or on identical feature sites, etc. 3. Take site averages of measurements on Tool_A and site averages of measurements on Tool_B, and calculate the difference from Tool_A to Tool_B on each site. 4. Calculate the average of these differences and the standard deviation. 5. Choose the number of sites for the matching test based on the expected standard deviation of the site differences between Tool_A and Tool_B, and the matching requirement of the technology node in question. While the choice of confidence level could be reduced, the industry standard 95% should be attained if possible. 6.4 Matching on Shrinking Resist Samples Due to the large difference between the CDs of sequential measurements (doses) of the same site, issues arise when trying to match CD-SEMs on samples of shrinking photoresist. The ABBA method in Section 6.3 answers some of these issues, but due to the non-linearity of the shrinkage with dose, it is not ideal. This method assumes that each of the tools shrinks the target, on average, by the same amount. The double-aaab methodology in Section does not assume this. Another methodology, the grating method in Section 6.4., circumvents this by not measuring the same locations more than once AA-AB-BB-BA (Double AAAB) Matching Methodology The Double AAAB matching methodology is a more general form of the ABBA method, which along with the ABBA two groups of sites for measuring targets on each tool in either order AB or BA, includes two new groups of sites, one of which is measured twice by Tool_A (order AA), and one of which is measured twice by Tool_B (order BB). By definition, Tool_A is matched to itself, as is Tool_B. The matching is calculated from the differences in the second measurement from the first, as with ABBA. For the sites that are measured twice on one tool (AA or BB), these deltas include zero matching offset by definition, and only contain the shrinkage due to that tool. The sites that are measured once on each tool (AB or BA) have deltas that include both the shrinkage due to the second tool and the matching offset between the tools. To better understand this Let A shrink be the shrink on the nd measurement when Tool_A performs the nd measurement Let B shrink be the shrink on the nd measurement when Tool_B performs the nd measurement Let M offset be the matching offset between tools, such that Tool_B measures larger than Tool_A by M offset 61

78 6 The deltas from each of the four groups of sites leads to four equations: 1. Group AA, Difference between 1 st and nd measurements: AA = A shrink. Group BB, Difference between 1 st and nd measurements: BB = B shrink 3. Group AB, Difference between 1 st and nd measurements: AB = B shrink - M offset 4. Group BA, Difference between 1 st and nd measurements: BA = A shrink + M offset With three unknowns and four equations, the matching difference can be solved with good certainty. The standard deviation error of the matching offset term can similarly be calculated by quadrature additions and subtractions. Confidence intervals can then be calculated the same way as with the ABBA method. For this, N is the number of measurements in each group. The entire method requires 4N measurements, so the method is not as efficient, but it does give a nonbiased estimate of the matching offset and the shrinkage of each tool. In setting up this method, care must be taken to ensure that the sample is unloaded after each measurement run. The measurement method is thus the following four recipes, each with its own load: 1. Load wafer into Tool_A, perform 1 st measurements of sites in groups AA and AB. Load wafer into Tool_B, perform 1 st measurements of sites in groups BB and BA 3. Load wafer into Tool_B, perform nd measurements of sites in groups BB and AB 4. Load wafer into Tool_A, perform nd measurements of sites in groups AA and BA 6.4. Grating Matching Methodology Measuring different, intermixed locations with different tools within a uniform grating can be used as a matching methodology, which avoids double measurement of any single feature. Typical scatterometry gratings ( µm ) are typically yielding across-the-grating linewidth variations, which are comparable to the precision of the CD-SEM measurement. If enough measurements are performed with each tool, the average value and standard deviation of one tool s measurements over a grating can be compared to the average of and standard deviation of the measurements from other tools over the same grating. Each tool will step in a regular grid pattern through the grating and, using a small FOV, perform a non-unique pattern recognition and measure a somewhat random segment of line. Obviously, care must be taken to ensure that the FOVs of the different tools do not overlap; this can be achieved by using a small FOV and for each tool starting the origin of the grid of measurements with offsets greater than this FOV, but less than half the grid stepping distance. See Figure 16 for an example layout of this process. In this case, four tools (A, B, C, and D) each measure a 55 grid of measurements over the grating at regular intervals. For sake of this illustration, let s assume that the grating is 50 µm square. Each tool will step 9 µm between each of its measurement sites. Thus the starting point of the measurement location grid for each tool must be offset by 4.5 µm in either x- or y- or both x- and y-directions from the others; this is the same as pick a starting coordinate for one tool, and the others start at (all in µm) coordinates (4.5, 0) or (0, 4.5) or (4.5, 4.5) relative to the tool which starts at (0, 0). The FOV must be <5 µm so that no part of the grating gets dosed twice.

79 63 A B A B A B A B A B C D C D C D C D C D A B A B A B A B A B C D C D C D C D C D A B A B A B A B A B C D C D C D C D C D A B A B A B A B A B C D C D C D C D C D A B A B A B A B A B C D C D C D C D C D Note: In this case using four CD-SEMs. The large square is the grating, and the letters represent measurement locations of tools A, B, C, and D. Each tool measures a 5 5 grid of measurements. Figure 16 Schematic of Grating Measurement Matching Care must be taken that the range of any stray dose (see Section 5..3) is also much smaller than the distance between different tools measurement sites, as stray dose should not influence measurements from the other tools. Also, all measurement sites should have an FOV that is far enough away from the edge of the grating to avoid any grating edge non-uniformities; a few µm should typically be sufficient for this. The large number of measurements will minimize (average out) the effects of LWV and LWR in the data, and the intermixing of the sites minimizes any systematic across-the-grating process variation. The final data set will yield an average CD and standard deviation for each tool. In comparing the overlap of two Gaussian distributions to a confidence level, the standard t-test and f-test can be used in Excel. If two distributions have average values A 1 and A, standard deviations s 1 and s, and number of data points N 1 = N = N. 1. Test that two distributions are statistically the same. Take the variance of the measurements of two tools. F is the ratio of the two variances, larger divided by smaller. Compare this to F INV (,N-1,N-1) where is 1-confindence percentage ( = 0.05 for 95% confidence). If F < F INV (, N-1, N-1), then the distributions are statistically similar; go to step. If not, distributions are different and tools are not matched.. Test if the means of the two distributions are statistically the same with the t-test. The calculations are more rigorous if N 1 is not equal to N, but reduces elegantly if N = N 1 = N to t = (A 1 A ) ( N)/ (s 1 + s ), then plug t into p = T DIST (t,n-,); if p<, then the means are statistically different to the 1- confidence level, and if p> then the means are the same to the 1- confidence level.

80 64 The CIs discussed in Section 6.3. could also be used; in this case, d will not be the standard deviation of the differences, but the standard deviation of the measurements of the reference tool. This standard deviation will be the quadrature sum of the tool measurement precision and the true linewidth variation within the measurement set, but it is impossible to distinguish between these two terms. In theory, a fleet matching experiment (see section 6.5) could be done using this grating method, with each tool visiting each site only once and leveraging multi-feature averaging. A simulation of this shows good response to slope, nonlinearity, and offset matching issues while being insensitive to precision components of matching. This might be one solution to matching when the target is significantly changed by the measurement, such as with shrinking photoresists. 6.5 Fleet Matching More advanced methodologies have been implemented recently in production lines to ensure matching across a fleet of tools in a facility and to diagnose matching issues. The underlying philosophy is that any tool in the fleet is supposed to be interchangeable. Fleet matching entails matching to either a master tool that is certified to be the most stable and well calibrated tool in the facility or to the fleet average, the average value reported from the collection of tools. Individual tools are matched to these references using an analysis similar to that posed in the Accuracy/TMU section (Sections 4.1. and 4.1.3), where slope, offset, and TMU are calculated between a TuT and a reference tool. Metrics such as tool matching precision (TMP) and fleet matching precision (FMP) are thus defined. More in-depth explanation of this methodology will be included soon, presented here not so much as an evaluation strategy but as a thorough methodology for production matching management [66] Tool Fleet Matching Evaluation From the ITRS (Table 117a) on metrology, a footnote associated with the specification on CD control and measurement precision indicates, All precision values are 3 in nanometers and include tool-to-tool matching. This implies that the ITRS assumes the stated precision is for either one tool or, for example, 0 tools in manufacturing. The fleet of tools must thus behave as a single entity. This stresses the importance of doing a matching evaluation of metrology tools as a matter of necessity to see how a group of measurement instruments will perform together. This evaluation is referred to as a matching exercise. From an historical viewpoint, laboratory instruments were typically matched using a standard. For example, all of the voltmeters are calibrated by a standard voltage cell or group of cells at different voltages. This process whereby each of the lab meters is calibrated results in a matched fleet of voltmeters. They are all intimately connected to each other through the standard and the calibration process. Today, with more complex measurements being carried out in a semiconductor fabs, this is not so easy. First, there are no linewidth or overlay standards exactly like the semiconductor features being manufactured today. Second, subtle variations in these features induced by normal process variations are known to yield different answers by the measurement TuT. This is why it is important to have a comprehensive evaluation for matching.

81 To meet this challenge, the SEMATECH CD-SEM Unified Specifications (1998 to now) has proposed a method of combining precisions and offsets. The more advanced methodology proposed here is based upon two additions: 1) using process-stressed artifacts (PSA) and ) using the principles of a calibration exercise. These two ideas go hand in hand. The use of PSAs ensures that the tool matching exercise is relevant by varying process parameters that are known to cause measurement challenges for the TuT. Wrong parameters varied or insufficiently varied will result in an overly optimistic matching estimate. Likewise, process parameters excessively varied will result in a pessimistic estimate for the matching potential of the TuT. In summary, the evaluation is only as good as the design and build of the test artifacts. The second key step in this new methodology is to treat the calculations as a calibration. A minimum of two tools is required to do this evaluation. The two TuTs will be designated as TuT 1 and TuT. How can the exercise be done without the benefit of standards or some kind of reference metrology on the PSAs? Since this is a matching exercise, TuT 1 can be compared to TuT by regressing one against the other. While this is not a calibration with a standard, it expands the evaluation to another dimension by allowing the observation of the TuT 1 measurement response to TuT measurement response through the known critical process variations. This yields a unique opportunity to observe the TuT s matching behavior under real process conditions. Similar to the accuracy evaluation described in Sections 4.1. and 4.1.3, the Mandel regression is well suited for use here, allowing for the regression with both variables subject to uncertainty. Two key metrics also result from this Mandel regression: the slope () and the non-linearity. Derived from the slope, a term defined as the slope-induced shift offset (SISoffset) is created Process Windows SISoffset 1 Eq. [7] where the Process Window is defined as the allowed variation over time for the given process. The fraction depends upon the nature of the manufacturing process sampling of the process window over time. The non-linearity metric is defined as 65 nonlinearity MNRE 1 Eq. [8] where MNRE is another metric resulting from the Mandel regression, MNRE stands for Mandel Net Residual Error, and MNRE is treated as a variance term. Next, the precisions of tool 1 and tool are subtracted from the MNRE after a test for statistical significance. Having defined the two new metrics, SISoffset and non-linearity, these are combined with the traditional matching metrics of precision and offset, defined here as Pr ecision 3 offset y x 1 n 1 n i1 x i x 1 where x n n i1 x i and Variance Eq. [9]

82 66 forming a couple of new, combined, comprehensive matching metrics called TuT, TMP, and FMP: TMP offset offset SUSoffset SISoffset 3 TuT TuT BMS BMS nonlinearity Eq. [30] FMP 3 V V V V Eq. [31] pp po ps pn V pp 1 N N i1 i i Eq. [3] V po 1 N N offset offset i1 i BMS Eq. [33] V ps 1 N N SISoffset SISoffset i BMS i1 Eq. [34] V pn 1 N N i1 Eq. [35] nonlinearity, i The Benchmark Measurement System (BMS) descriptor could be defined as either a golden tool in the fleet or the fleet average. V pp is a variance term defined as the pooled precision. V po is a variance term defined as the pooled offset. V ps is a variance term defined as the pooled SISoffset, and finally, V pn is a variance term defined as the pooled non-linearity. To further clarify, FMP is a single term in nanometers that captures the measurement uncertainty of the entire fleet for a given application through the PSA. This term allows the FMP to be compared against the ITRS requirements to gauge whether requirements are being met. TMP is a single term in nanometers that captures the measurement uncertainty of each tool in the fleet with respect to the BMS through the PSA. This term allows one to gauge how each tool compares to the BMS and determine which tools are most poorly matched to the BMS. Further, TMP provides a more realistic and comprehensive measure of tool performance than precision and offset and can be used in place of them when qualifying a new tool to be released into the fleet. The FMP and TMP specs are typically the same; they could be pulled from a roadmap or derived from process specifications. A general rule is 1% (Gauge-Maker s Rule) or % (ITRS) of the target for the process. The FMP metric is really what the ITRS calls precision when it states All precision values are 3 in nanometers and include tool-to-tool matching. Even though FMP and TMP are being described here in the spirit of tool matching, they hold great value in numerous exercises: New tool assessments (best of breed evaluations) and qualification Benchmarking and maintaining a fleet of metrology instruments Assessing changing in hardware and algorithm Heterogeneous tool matching

83 Intrinsic to this calibration methodology is ensuring that all the TuTs are measuring the same wafer in the same location to minimize the contribution of feature variation to the matching estimate. Under these conditions, the determination of TMP and FMP can be performed easily through repeated runs across all the tools in the fleet for a given PSA or set of PSAs. A preliminary spreadsheet is available from the AMAG chair. It is preferable to include a comprehensive set of PSAs because one PSA cannot possibly capture how the toolset performs on a variety of measurement situations. Finally, one must distinguish between destructive and non-destructive metrology toolsets. For example, overlay tool matching and scatterometry tool matching exercises typically do not have to account for damage through repeated measurements on the same wafer; therefore, the determination of FMP and TMP is more straight-forward. When matching CD-SEMs, carryover/slimming effects may need to be accounted for in determining FMP and TMP. A work describing the use of TMP/FMP metrics for manufacturing SPC is available [79] Recommended Values for Matching (FMP) Uncertainty Component Considering the definition of uncertainty and the uncertainty values in Table 3, if the precision, matching (FMP), accuracy (TMU), and sample variation components were each to be quantifiable as half the ITRS uncertainty values, then the ITRS is satisfied. In some applications, some components can be discounted. For instance, in gauge studies with a closed sample set (i.e., the same sites are always measured), sample variation is zero. For single tool dedication, matching is zero. In real life manufacturing applications, sample variation is crucial since the set of samples to be measured is open (i.e., no sites repeat). The AMAG recommends that when tools are evaluated, each component should be controlled to half the ITRS uncertainty for each individual component, so that the tool will perform in all cases of real use. 6.6 Concepts of Fab Matching and World Matching To performing a matching benchmark, there are two types of tests: fab matching and world matching. Fab matching uses two same-supplier and model and configuration tools that are in the same location, maintained together, regularly run the same samples, use the same pitch standards, use the same data and recipe server database, etc. (i.e., matching in the traditional sense). World matching uses two tools of the same supplier and model and configuration in different sites; beyond this, all similarities between the tools end. Each benchmark test must be conducted the same way with each supplier. Therefore, matching model, based on availability of pairs of tools at each demo site, must be chosen ahead of time. Results will probably be different between tools that are fab matched as opposed to world matched. In a world matching test, copy and run the exact recipe on the second tool on the same wafer, without tweaking the tool into matching. Measure pitches on whichever targets are suitable on each tool to compensate for any pitch/magnification differences. The world matching test can show how uniform a given CD-SEM model is across its ownership base and how intercompatible the configurations and portable the recipes are. 67

84 Best Known Methods (BKM) of Matching in Manufacturing In 009, the AMAG held a special meeting and many teleconferences addressing defining BKMs for matching in manufacturing. member companies shared their methods in a give-to-get format, and the Unified Specifications and seminal conference papers were also reviewed. Final BKMs are not yet finalized, but some main conclusions have been reached: Achieving good matching performance in a manufacturing environment includes executing FMP methods in tool qualification and fab-to-fab matching activities. Maintaining the tool match includes using either the FMP or AB methods in SPC. Sampling plans must be designed to achieve matching to within the confidence intervals dictated by the expected process variation. Good matching performance also depends on recipe portability. For SPC, golden wafers can be used when the metrology does not influence the measurement. This is difficult with CD-SEM tools. Product wafers measured a few times (or only once, possibly using the grating method) per site are probably the best way to maintain matching for CD-SEMs. Frequent product data-mining through Pareto analysis (sort by metrology tool, last process tool, etc.) can be another important check for tool matching. Good matching performance also requires diagnostic monitoring of many different hardware parameters of a given tool type to find known root causes of possible mismatches to identify and address contributors before matching issues affect product. Consensus for BKM is to avoid fudge factors; good matching should be achieved by good fundamental calibration (i.e., copy exact ). For CD-SEM tools, key hardware matching contributors are as follows: Image Resolution: beam focus/stigmation (ABW) Aperture(s) Beam tilt (stray tilt) Iprobe Filament current Vacc abnd Vhar (extraction V) X & Y scale (magnification or FOV) Across FOV linearity Vacuum cleanliness Detector yield & noise & balancing (can include SEM illumination matching, which also affects recipe portability) Thermal equilibrium Chiller temperatures/operation

85 69 Tool environment (background noise) SEM most susceptible to EM and mechanical background CD-SEM recipe portability contributors include the following: Stage navigation accuracy Alignment of FOV centers between SEM and optical Global alignment Wafer loading accuracy (maybe also a matching contributor unless rotation is compensated for) Wafer rotation Wafer load centering Optical microscope contrast/brightness SEM image placement/rotation/gain Optic to SEM boresight Wafer charge up(charge up sensor condition) Wafer Z position (Z sensor condition) Once the matching BKMs are complete, they will be included. 1 7 PATTERN RECOGNITION AND NAVIGATION ACCURACY/ REPEATABILITY Several sub-systems in automated CD-SEM measurement tools must work reliably to produce a high throughput of successful measures. Two of these systems pattern recognition and navigation accuracy and repeatability are closely related and vital in locating the measurement feature for evaluation by the measurement algorithm. This specification therefore details the evaluation of both subsystems. The test methodology has been made as general as possible. Methods may need to be adapted to the specific CD-SEM under evaluation, if highly novel approaches to measurement site acquisition become available. Nevertheless, these methods are appropriate for the current generation of CD-SEMs. In general, the CD-SEM should be able to use stepper marks and or features in the photoresist and after etch to obtain the necessary information to align repeatably and move precisely to the feature being measured. 7.1 Pattern Recognition The capture rate for pattern recognition is the most critical parameter to be specified, as it directly affects throughput of the tool. The capture rate will be defined as the number of successful pattern recognitions divided by the total number of targets to be captured, expressed in 1 For updates on this topic, please contact the AMAG representative, Ben.Bunday@ismi.sematech.org.

86 70 percent. Successful includes only desired recognition (not just satisfaction of the detection algorithm, which sometimes occurs on a similar but wrong feature) Test Methodology Procedure For each capture rate test, a database of 5 events (attempted captures) is acquired. Rates show the dependence on layer type, pattern shape, charging, pattern size, and electron detection mode. Each failure will be characterized with respect to error type. The availability of pattern recognition error analysis tools is specifically required as an available output in future CD-SEMs (see Section 8) Wafer and Feature Description The test wafers for pattern recognition are representative of actual product layers. These layers vary in contrast and degree of charging, which can affect both pattern shape and contrast and thus success in pattern recognition. Testing should explore the effect of image shape and/or contrast variability. Layers need to be compared; therefore, capture rate is characterized with respect to layer type. The test wafer set includes simple geometry patterns (circles, squares, and crosses) in addition to line sets (elbows, end of runs) for recognition capability. These are placed near similar shapes and sizes to determine differentiability. Charging may cause changes in the contrast of the pattern recognition feature relative to the stored template. This sensitivity is evaluated on layers such as gate and ungrounded metal, which are commonly prone to charging. Sensitivity to charging may vary according to actual area scanned; to evaluate this effect, the pixel size of the feature must be kept constant. Feature shapes should be provided that will be varied in size while maintaining geometric similarity. The capture rate is determined at two different magnifications: 1) the recommended low magnification for the initial SEM pattern acquisition and ) a higher magnification appropriate for intermediate (or final) stage acquisition. The pixel size of the feature presented to the pattern recognition algorithm remains constant. It is important to know the detection sensitivity of the recognition algorithm to the pixel size of the pattern (% of search area occupied by the pattern). This pixel limit can be determined using the same geometrically similar series of patterns described above for charging evaluation. However, it will be placed on a different layer that has low susceptibility to charging Measurement Conditions Pattern recognition is available in both secondary and backscattered electron detection modes in some tools. In such cases, the relative performance must be evaluated on both charging and noncharging layers (relative performance is expected to be sensitive to charging). The same feature shape and size are used on both layer types. Figure 17 shows a sample SEM pattern recognition test. These features are on the SEMATECH AMAG-4L reticle. Once exposed, features are achieved as shown in Figure 18 and Figure Data Analysis The correlation number for each pattern recognition must be recorded. Data analysis will indicate the causes of error during both coarse and fine pattern recognition such as navigation error,

87 failure due to contrast difference between measured feature and template, or capture of the wrong (perhaps similar) feature. These errors need to be typed and logged so that they are available for a fishbone analysis of pattern recognition failures. 71 Note: Top row, left-to-right is the T test, dot test, and QO test. Bottom row, left-to-right is LER test and 11 test. Yellow boxes show the target to be acquired. Figure 17 AMAG-4L Targets Used in the Pattern Recognition Test in 003 Benchmark a) Best b) Worst Figure 18 Case Patterns in the FEM for 193 nm Resist

88 7 a) Best b) Worst Figure 19 Case Patterns in the FEM for Etched Poly Specifications The capture rate is specified only for typical features on generic device layers. Tool conditions may be optimized before testing. The pattern recognition capture rate should not be less than 95% for any particular generic layer and not less than a mean of 97% for all layer tests combined. In return-and-recapture mode for missed sites, the mean capture rate should be 99%, and the throughput calculation must reflect the additional search time. An error log is available to the user, which in part contains information on the causes of pattern recognition failures during manual and automated operation. The dependence of capture rate on pattern shape, charging, recognition resolution, and electron detection mode are not specified but are used to highlight areas for tool improvement and to compare different tools. 7. Navigation Accuracy and Repeatability Automated navigation must be able to capture and orient the targets for proper measurement. The tool must be capable of consistently bringing the target feature either pattern recognition or the measurement feature within the search field for capture. The procedure tests the components of the measurement acquisition process of the CD-SEM under evaluation, including stage accuracy and repeatability. Automated movement can vary from sub-micrometer to several centimeters. The accuracy of movement (placing the target feature at the center of the FOV) depends on several components. First is the stage movement mechanism. Second, the wafer coordinates of the pattern recognition feature must be accurately determined before movement. They depend on pattern recognition resolution. This issue is separate from successful capture. Third is the use of beam shifting, if available. An official AMAG Excel worksheet is available upon request from the AMAG chair.

89 7..1 Test Methodology-Stage Movement Mechanism Accuracy and repeatability for both local and long-range moves will be determined. Data are acquired for each appropriate range of stage movements. For example, a 0 µm movement tests typical stage shifts from pattern recognition feature to measurement site. This test should be run with and without beam-shifting, depending on the design of the tool. An intermediate (1000 µm) movement tests the regime where a unique pattern recognition feature cannot be placed close to the measurement feature, such as when active device features are to be measured within a large area of repeating circuit cells. The full-range (40 mm) movement tests stage moves over the practical extent of the stage drives. It may be important for shifts from 1) the alignment site to first measurement site, ) intra- and inter-field site-to-site moves, and 3) manual navigation Wafer and Feature Description The target feature site layout for a 0 µm movement test is shown in Figure 0. The square layout tests X- and Y-stage drives equally (or beam shift octopole balance). The layout for a 1000 µm movement uses a proportionately larger square (see Figure 0). A sufficient grid of points is available so that no site is visited twice to minimize the influence of charging. The fullrange movement test is done according to the layout in Figure 1. This layout tests accuracy over a practical range of stage movement in both X and Y directions for a 150 mm wafer stage. For this determination, features on the test wafer set are separated by 40 mm. A 00 mm stage uses a proportionately larger layout µm 1000 µm 40 mm Field 3 Field 5 Figure 0 Chip Layout of Target Site Arrays for 0 µm, 1000 µm, and Full-Range (40 mm) Movements

90 74 Figure 1 Layout of mm Fields on a 00 mm Diameter Test Wafer (with 40 mm movements to test full-range stage accuracy and repeatability) Procedure for Measurement of Stage Navigation Accuracy and Precision For all movement tests, the start-feature coordinates for a given move should be determined in secondary e-beam mode at a magnification/image pixel size equal to the resolution of the tool (i.e., at the normal FOV used). A feature is aligned to the center of the FOV. A stage shift to the finish-feature coordinates is then requested, and the offset from the finish-feature is determined at the same magnification and e-beam mode by measuring the X- and Y-positions relative to the center of the field of view, while recording these displacements so that if the feature is right or up from the center the X and Y values are positive, or, if left or down, negative. Study Field 3 shown in Figure 0. For the 0 µm and 1000 µm movements, the operator should move in a downward serpentine fashion, taking eight measurements from each initial starting point (i.e., pick the starting point, then move right, down, left, down, right, down, left, down, taking a measurement at each point). The operator then moves in an upward serpentine fashion, taking eight measurements from each initial starting point (i.e., pick the starting point, then move right, up, left, up, right, up, left, up, taking a measurement at each point). This yields 16 datapoints with an equal number of moves in each direction. This entire cycle is repeated five times, yielding 80 datapoints (with each point having an X- and Y- component). The 0 µm and 1000 µm movements are accomplished intra-field. The full-range movement must be done inter-field. Here the fields are 0 0 mm; a 40 mm full-range move would occur between either field in the wafer field array. Field numbers relate to Figure 0. For the full-range movement test, study Figure 1. Pick an initial starting point on the center die of the wafer. Then go to one of the corner die listed in Table 10 and measure the position of the feature relative to the center of the FOV. Then move 40 mm according to the start-corner s

91 75 column and repeat. This yields 16 measurements. Repeating this for each of the four corners yields 64 measurements (each with an X and Y component), or 16 sites each visited four times. An equal number of moves in each direction is incorporated into the measurement plan. Table 10 Sequence of Moves After Aligning on Center Die Starting Corner Meas. # Upper Left Upper Right Lower Right Lower Left 1 UL Corner UR Corner LR Corner LL Corner Move Right Move Down Move Left Move Up 3 Move Right Move Down Move Left Move Up 4 Move Right Move Down Move Left Move Up 5 Move Down Move Left Move Up Move Right 6 Move Left Move Up Move Right Move Down 7 Move Left Move Up Move Right Move Down 8 Move Left Move Up Move Right Move Down 9 Move Down Move Left Move Up Move Right 10 Move Right Move Down Move Left Move Up 11 Move Right Move Down Move Left Move Up 1 Move Right Move Down Move Left Move Up 13 Move Down Move Left Move Up Move Right 14 Move Left Move Up Move Right Move Down 15 Move Left Move Up Move Right Move Down 16 Move Left Move Up Move Right Move Down Note: A measurement of feature position is performed after each of the above moves. From the resulting data of each test above, an average and 3 X- and average and 3 Y- displacement can be calculated, with calculated resultants (square root of sum-of-squares). Displacement mean and displacement 3 are key metrics for navigation accuracy and precision, respectively. Stage precision can be calculated using the data from the 40 mm movement test. Calculate the variance in X and Y at each site. Three times the square root of the average of these variances is the stage precision. If a given tool cannot automate this procedure (i.e., the only way to measure is with online rulers in both X and Y dimensions and record the data by hand, which can be time-consuming), a timeefficient method for collecting this data is to overlay a transparency on the screen of the monitor and mark the target position on the transparency. Numbers can be written close to the datapoints to record order. The scale of the measurement must also be recorded. This yields a scatterplot, which can later be measured. Note that this test requires many manual movement inputs. A simpler test, which can easily be automated with a recipe, is in Section Two observations from two different die or repetitions are shown in Figure. These are the SEM images of the pattern recognition FOV when moving to the nominal coordinates of the feature. The position of the center of the feature is found relative to the center of the FOV. Cartesian coordinates describe the vector to the feature from the center of the FOV.

92 76 x,y x,y=1.5,1.03 = 1.03 x,y = -0.78, µm FOV 5 µm FOV Figure a) b) Illustration of Measurement in the Stage Navigation Precision Test The data in Figure 3 was randomly generated. The averages of each run are the larger symbols. The small dots are the individual observations. The spread of the dots in x and y are calculated from the standard deviation of those components from each observation, and leads directly to stage precision Abbreviated Stage Navigation Precision and Accuracy Test An accepted quick version of the test in Section can be performed with one site on each die of the wafer, with the standard die order used. The test is 5 die (or all die on wafer would be ideal), 1 site per die, 5 runs, and the precision and accuracy can be calculated. Also, the change in the average position of the scatterplot of each run is of interest. Matching among multiple tools of the stage navigation in the pattern recognition FOV can be tested by running this test on multiple tools (details are in Section ) Test Methodology-Pattern Recognition Resolution Limitations in pattern recognition resolution are responsible for small but possibly significant errors near subsequent targets (i.e., errors in the placement of a measurement box over a small pitch). There are two distinct pattern recognition processes in CD-SEM operation: 1) global alignment and ) measurement site recognition Measurement of Global Alignment Positioning Accuracy Positioning accuracy due to the global alignment process is determined by acquiring a valid set of observations (i.e., 5 10) of the offset error of the alignment feature as a result of pattern recognition and centering. Offset error is measured at resolution-limit magnification and in secondary electron e-beam mode (it is assumed the actual feature capture occurred in optical mode). A typical feature is selected for the global alignment template (e.g., a field corner). Thus the procedure is to write a recipe with global alignment and a single measurement target and allow landing offset correction, pausing the recipe when the tool enters SEM imaging mode and

93 77 y [µm] Die-to-Die Navigation Scatterplot Run1 Run Run3 Run4 Run5 Average, Run1 Average, Run Average, Run3 Average, Run4 Average, Run5 Average, All Runs x [µm] Figure 3 Example Scatterplot From Navigation Precision Test centers on the target, and then measuring the position of a single target (at wafer edge) relative to the center of the FOV (measure as in Section 7..1.). This is repeated five to ten times. A more thorough test can be achieved by measuring the positions of two targets at opposite edges, allowing rotational errors to be measured as well. In this case, the distances of the sites from the wafer center must be known Measurement of SEM Pattern Recognition/Measurement Image Placement Positioning Accuracy Positioning accuracy and repeatability due to resolution of the measurement site pattern recognition algorithm is determined by acquiring a set of 5 observations of offset error of the pattern recognition feature after capture. This should be done at resolution limit magnification and secondary e-beam mode. Thus the procedure is to write a recipe with a single measurement target of an isolated contact hole (or the corner hole in an array, or some other point-like feature such as the end of an iso line), recording the resulting image from the measurement box. The position of this hole within the image can be measured offline for each of the 5 images, yielding a scatterplot of measurement box positions, which is the SEM pattern recognition accuracy. The average position and 3 spread of this scatterplot are metrics for this. Matching among multiple tools of the placement of the measurement image FOV can be tested by running this test on multiple tools (details are in Section ).

94 78 Two different observations from two different sites or repetitions are shown Figure 4; these are SEM images saved from the measurement, with the image location determined after the pattern recognition. The position of the center of the feature is found relative to the center of the FOV. Cartesian coordinates describe the vector to the feature from the center of the FOV. x,y = 5, 147 x,y = -98, nm FOV 1000 nm FOV a) b) Figure 4 Illustration of Measurement in the Measurement Image Placement Precision Test Definition of Metrics and Specifications For all positioning tests, inaccuracy is determined as the magnitude of the average offset (displacement) error from target, for X and Y components. Repeatability is the 3 variation in X and Y. The targeting errors associated with pattern capture as well as stage movement and/or beam shifting each produce a (mean + 3 ) component of error, or a maximum offset (MO). The total MO (TMO) is defined as follows: TMO Eq. [36] MO stage / beam MOpatrec If the final navigation TMO in X or Y exceeds half the measurement feature width, the feature will not overlap the center of the field. This is unacceptable for subsequent CD measurements on some CD-SEM tools. For a given tool, the TMO must be commensurate or less than the featurecentering requirement for that tool. For example, to obtain reliable 0.5 µm feature measurements on a certain CD-SEM, assume the TMO of the final acquisition motion must be no more than 0.15 µm in X or Y. The TMO for full-stage movement on this hypothetical SEM must be 5 µm to bring the measurement feature into the field of view for final capture and measurement. For lithography generations beyond 0.5 µm, the TMO can be calculated on a proportional basis. The specification for TMO as a function of lithography generation is shown in Table 11 for this example. However, the specification for precision for a given tool should depend on the FOV size used to locate the target and the scheme for doing this.

95 79 Table 11 Navigation Specifications Lithography Generation (nm) X-, Y- Total Offset (TMO) for final pattern rec. (nm) X-, Y- Total Offset (TMO) for 40 mm motions (µm) Navigation Matching If the tests for abbreviated stage navigation precision and accuracy (Section ) and measurement of SEM pattern recognition positioning accuracy (Section ) can be setup with recipes, the recipes can be ported to multiple tools and rerun on the same wafer, yielding a matching of the stage navigation and measurement image placement. For sub-90 nm node metrology, the AMAG has advised that navigation inaccuracy is becoming a major component of observed matching issues on some tools. Both of these tests produce datasets in the form of scatterplots of points where a given feature is located within the pattern recognition FOV or within the measurement image at many observations, so with data from multiple tools, the scatterplots can be plotted together. The average position and standard deviation for each tool in X- and Y- can be compared as metrics describing the overlap of each tool s scatterplot. 7.3 Recipe Portability Matching for Tool Fleet Management In a production environment where large fleets of tools are expected to perform in a uniform manner, there is more to fleet management that just fleet matching of measurements. The stage, probe centering, and optical microscope illumination all affect how well a given recipe on each tool in the fleet performs. When these parameters are not controlled, lots can measure out of spec and many recipe failures can negatively affect cycle time. A good metrology practice is to conduct a recipe portability matching test regimen, whereupon a test recipe for a common product wafer is periodically run on the same wafer on all tools in the fleet, with various metrics collected to check each tool s performance in various ways unrelated to the actual CD measurements Recipe Portability Matching When creating recipes on any tool in a fleet, the recipes should run equally well on any of the other tools in the fleet. Three major contributors affect recipe portability on CD-SEMs: stage navigation (which includes global alignment, navigation precision, and pattern recognition), illumination, and probe centering. These can all be gauged constructing a test recipe(s) that will be run across all tools using methodologies in this specification. This test recipe is used to qualify and monitor the tool over time. The specifications below are important to ensure that productivity is not negatively impacted by recipe errors resulting from inadequate calibration to any of the listed items. Note that the AMAG strongly encourages suppliers to provide the means to evaluate each parameter in an automated fashion; if not available today, the supplier should provide a date when it will be; in the meantime, the assessment will be performed manually. In a production environment, these recipe portability parameters should be periodically monitored over the life

96 80 of the tool, with corrective actions taken as necessary. This document does not yet include actual numeric specifications, but guidelines will be added in the future Stage Navigation The single tool and fleet pooled stage navigational errors must be used to gauge navigation performance. The navigation error is derived from the difference between the center of the pattern recognition location and the center of the field of view; this needs to be reported automatically in each recipe's data output report. No navigation errors in the qualification test and monitoring of the fleet must be greater than the specification. See Figure 5 for examples Voltage A Voltage B Voltage C Voltage D SPEC Voltage A Voltage B Voltage C Voltage D SPEC a) Tool A b) Tool B Voltage A Voltage B Voltage C Voltage D SPEC c) Tool A and Tool B Pooled Data Note: a) and b) are navigation scatter plots for two tools, c) is both tools plotted in the same chart. In both cases, all the data points should be within the pink specification box. These charts are for illustration only; these are not the specifications. Figure 5 Example of Navigation Scatter Plots

97 Probe Centering (measurement image placement accuracy/precision) The single tool and/or pooled probe centering errors must be used to gauge scan performance. The scan error is derived from the difference between the feature signal center of gravity and the scan for measure. No probe centering errors in the test must be greater than the pre-defined specification. These errors can lead to confusion between lines and spaces in dense line/space targets. This metric will soon become more important with new lithographies such as iarf and EUV, with pitches becoming as tight as 100 nm, or even 70 nm. See Figure 6 for an illustration of extracting performance information for this metric Note that CD-SEM tools need to provide a way to distinguish between lines and spaces without having to rely on placement of the measurement image, but probe centering must be held in calibration, regardless. This ensures proper tool-to-tool scan matching independent of any software scan corrections methodologies. 81 Note: This image shows how the probe centering metric is extracted. The center of gravity of the waveform is calculated with respect to the scan. Ideally, the waveform should be perfectly centered within the scan. Figure 6 Extracting Raw Data for Probe Centering Metrics Optical Illumination All metrology tools have optical microscopes for global alignment, therefore to minimize recipe global alignment errors due to poor illumination matching across the fleet, optical illumination intensity stability needs to be addressed and monitored. The illumination matching will be gauged by using pattern recognition scores from a test recipe. The pooled pattern recognition scores must be within the specification in the summary table. Additionally, a means to directly test the optical brightness of a given target (probably on a golden wafer) could be useful Wafer Rotation The pre-aligner needs to ensure it is loading the wafer with a minimum of error, otherwise this can impact measurement matching on the CD-SEM (and especially scatterometry) tools and lead to other issues.

98 8 8 THROUGHPUT The throughput CD-SEM specification is designed to test the high speed sorting of production wafers by a CD-SEM. Throughput must be evaluated under the same conditions as the testing of precision, contamination and charging, linearity and matching; i.e., using the same algorithm and SEM configuration, the same wafers (designs and substrates other die but similar sites). 8.1 Throughput Definition Throughput is the number of wafers sorted per hour under specified conditions. The conditions of a single wafer throughput include all aspects of getting a wafer into the system, making the requested measurements, and returning the wafer to its cassette. The single wafer case is often called serial throughput. The conditions of pipeline throughput include running five wafers continuously (pipelined) through the system. These two modes are the ultimate slow and fast limits (respectively) from a manufacturing viewpoint. A third mode involving three recipes in sequence to run a total of five wafers and including the queuing time during recipe swaps may also be included Throughput Specification Throughput for linewidth and contact hole measurements are given for the conditions in Table 1. To enable the user to calculate the throughput for any other condition, the different time elements that constitute the whole measurement cycle must be specified. These elements are load and unload time, pre-align, global align (GA), and move-align-measure (MAM) time. These time elements must be calculated for single wafer passes and lot queuing. Table 1 Throughput Scenarios 1 Etched Wafer Etched Wafers From Same Cassette 5 Etched Wafers (pipelined) 1 Etched + Resist + Etched ( from 3 cassettes) nm Resist Wafer (precharge in recipe) 9 die/wafer and 1 site/die X X X X X 9 die/wafer and 5 unique sites/die X X Both serial throughput (i.e., one wafer at a time) and pipeline throughput (continuous queuing) can be calculated fairly accurately from the results of the nine die/wafer with one site/die on the one etched wafer, two etched wafer, and five etched wafer tests, if the tool meets the following criteria (most tools at the 90 nm through the 45 nm ITRS technology node should meet these): Stage speed fast enough to make site-to-site movement time negligible compared to other tasks involved in the MAM process (i.e., not much difference in t mam between the nine-site and 45 site test, allowing evaluator to neglect the 45 site tests) Differences in measurement time between measuring etched poly and resist time negligible (allows evaluator to neglect test involving resist wafer) Tool has a parallel loading mechanism that is fast enough to minimize time wasted during wafer transfer, that allows multiple lots to be queued while others are running, and that loads the first wafer of the second lot while the last wafer of first lot is being measured (allows evaluator to neglect the case of several lots)

99 AMAG-Recommended Battery of Throughput Scenarios for 007 and Onwards As of the 45 nm ITRS technology node, many production facilities are typically using a sampling plan much closer to the nine die with five site/die sampling plan, to increase their process discrimination and evaluate across-chip linewidth variation (ACLV). Thus, as of the 007 update to this document, it is specified that such 45-site testing will be executed for two wafers. Also, recipe switching time is of interest. This can be tested with another two-wafer run, with each wafer assigned a different but equivalent recipe (merely saving the same recipe with a different name achieves this, so that the tool must go through the operations of reloading the recipe). This new set of scenarios should yield improved information on tool speed under more varying conditions, with more realistic values for either smaller or larger sampling plans. These changes will result in a larger set of throughput metrics; note that different member companies operate differently in this regard, thus each member company will need to decide which metrics are more realistic for their R&D or production environments. To summarize, the final list of throughput scenarios to be run as of the 007 version of this specification are as follows (all can be run with etched wafers): 1 wafer, 9 sites, same conditions as precision test wafers, 9 sites, same conditions as precision test 5 wafers, 9 sites, same conditions as precision test wafers, 9 sites, different named recipes (exchange time may change), same conditions as precision test wafers, 45 sites (9 die with 5 sites/die), same conditions as precision test wafers, 9 sites, speed optimized conditions 8.1. Throughput Test Methodology Throughput is evaluated on the basis of the different conditions as described above. The AMAG wafers for the test are two resist patterns and five etched polysilicon patterns. In all cases, good quality wafers must be used in conjunction with an optimized measurement program to minimize autofocus repeats and pattern recognition spirals. The different time elements (load, pre-align, global align, move-acquire and measure, and unload) are measured/calculated assuming the following models. Definitions are these times are shown in Table Calculation of Lot Cycle Time Figure 7 shows the equations for calculating lot cycle time. Figure 8 is a schematic of the times within the throughput model. 83

100 84 Table 13 Timing Model (continuous mode without queuing) T 0 Put on cassette t ovhd Lot overhead time (e.g., select recipe) t ovhd = T 1 Push Start t load t load = T 1st wafer aligned and loaded t measure n * t mam t mam = T 3 last target of 1st wafer measured t exchange 0 t exchange (t load + t unload ) t exchange = T 4 Measure first target of nd wafer t measure T 5 Last target of nd (last) wafer measured t unload t unload = T 6 nd (last) wafer unloaded m = wafers/lot; n = sites/wafer T lot = t ovhd + t load + m * t measure + (m-1) * t exchange + t unload with t measure = n * t mam T lot = t ovhd + t load + m * n* t mam + (m-1) * t exchange + t unload Figure 7 Throughput Methodology Definition Timing Model (continuous mode with queuing) 1st Lot T 0 T 1 T n * t mam T 3 T n * t 4 mam T 5 t unload T 6 t ovhd t load t measure t exchange t measure t exchange nd Lot T 0 T 1 T n * t mam T 3 T n * t 4 mam T 5 t unload T 6 t ovhd t load t measure t exchange t measure t exchange 3rd Lot t exchange T 0 T 1 T n * t mam T 3 t ovhd t load t measure Last Lot T 0 T 1 T n * t mam T 3 T n * t 4 mam T 5 T 6 t ovhd t load t measure t exchange t measure t unload Figure 8 Throughput Methodology Definition for Continuous Mode

101 Calculation of Total Cycle Time Figure 9 shows the equations for calculating total cycle time. k m n lots wafers/lot sites/wafer T total = t ovhd + t load + k * (m * t measure + (m-1) * t exchange ) + (k-1) * t exchange + t unload T total = t ovhd + t load + a * t measure + (a-1) * t exchange + t unload with a = k * m Figure 9 Calculation of Total Cycle Time Metrics 13 Throughput in wafers per hour (wph) should be reported for all scenarios (see Table 1), with an emphasis on serial (one wafer at a time) and pipeline (constant wafer exchanging) throughput for the five site tests. Also, the load time (t load ) and unload time (t unload ), exchange time (t exchange ), and MAM time (t mam ). Pre-align time (t PA ), optical and/or SEM GA time (t GA ), and optical autofocus time (t AF ) are subsets of t load and t exchange but can also be measured and reported since they give a more thorough accounting of loading time, but are optional since different tools may have different loading procedures. The t mam information from the 193 nm (ArF) resist wafer run should be noted separately since the t mam,193 will probably be different from the standard t mam due to any precharge scheme (same used in precision and contamination method 1). Table 14 shows practical definitions of times in the throughput model. Table 14 Definition of Throughput Time Metrics Time Metric Start Event End Event t overhead Place cassette Click start t load Click start SEM mode begins n*t mam (n measurements per wafer) SEM mode begins SEM mode ends t unload SEM mode ends Wafer returned to cassette t exchange (>1 wafer test only) SEM mode ends for wafer #x SEM mode begins for wafer #x+1 t GA (subset of t load, measured separately) t PA (subset of t load, measured separately) t AF (subset of t load, measured separately) Optical mode begins Discuss with supplier Optical autofocus begins (not on all tools) SEM mode begins (or optical autofocus begins) Discuss with supplier Optical autofocus ends (not on all tools) AMAG-Recommended Battery of Throughput Metrics for 007 and Onwards The 007 set of loading scenarios (see Section ) should yield improved information on tool speed under more varying conditions, with more realistic values for either smaller or larger 13 An official AMAG Excel worksheet is available upon request from the AMAG chair

102 86 sampling plans. Those changes do result in a larger set of throughput metrics; note that different member companies operate differently in this regard, thus each member company will need to decide which metrics are more realistic for their R&D or production environments. As of the 007 version of this specification, the minimum set of metrics to be reported are as follows: T mam, t load, t exchange, and t unload for precision conditions for 9 site and 45 site cases T mam, t load, t exchange, and t unload for speed optimized conditions for 9 site case T mam, t load, t exchange, and t unload for precision conditions for 9 site case with differentlynamed recipes Serial and pipeline throughputs (wph) at precision conditions for 9 site and 45 site cases Serial and pipeline throughputs (wph) at speed optimized conditions for 9 site and 45 site cases Extrapolated values for serial and pipeline throughputs (wph) at both precision and speed optimized conditions for a hypothetical 5, 13, and 17 site cases, using the 9 site values for t mam, t load, t exchange, and t unload Extrapolated values for serial and pipeline throughputs (wph) at both precision and speed optimized conditions for a hypothetical 5, 35, 70, and 100 site cases, using the 45 site values for t mam, t load, t exchange, and t unload Throughput Notes The performance of each time component should be periodically monitored and, within tolerances, met for the life of the tool. Also, the MAM and global alignment specifications should be met regardless of how many measurements are being made in a recipe(s). Suppliers should ensure their software allows the toolset to provide detailed timestamps (with s level certainty and reporting) so that each of the throughput parameters can be calculated directly. The software should also always report the exact time of each measurement in the standard results files (with the same s level certainty and reporting). Parameters to be measured are as follows: MAM time Global alignment time Wafer swap time (WST). The WST is calculated from the time that the previous wafer completes to the time the next wafer arrives under the optical microscope. This could also be considered a subset of the above exchange time; WST is the part of the step where the wafers are switched. This is subtly different as exchange time includes GA. Wafer load time. The load time is calculated from when the load command is executed to the arrival under the optical microscope. Throughput (in wafers per hour in pipeline mode) = 3600/[(WST) + (GA) + (# of measurements/sites)(mam)].

103 8.1.4 Recommended Throughput Specification Table 3 (bottom) lists the recommended MAM time for different technology nodes in the ITRS. MAM times of 1 second should be pursued for the 45 nm node, eventually achieving half-second MAM times. This specification is justified by the eventual need to increase sampling plans for challenging applications in which the control of process variation will be tough and for control of processes on larger wafer sizes SEM OUTPUTS BEYOND CD MEASUREMENT CD control at 0.18 µm and below demands sophisticated engineering and SEM diagnostics. This specification is a priority list of outputs that metrologists should require from an advanced tool in addition to the output CD measurement number itself. The objective is to facilitate analysis of the critical CD-SEM parameters (reproducibility, accuracy, charging, resolution, and matching). The approach is to go directly to the root cause by making more of the fundamental machine parameters readily available. Currently these outputs are not available in contemporary instruments; they must be deduced (when possible) from measurement performance. 9.1 Specifications 14 The following machine outputs must be made available to the advanced CD-SEM user/engineer. They should be able to be transmitted with the data. They are listed in descending priority, with notes on the objectives and requirements Raw Line Scan Data Raw line scan data are needed to enable independent, comparative off-line analysis and measurements. Current instruments take the raw data and process it through various black box processes that make comparisons difficult or impossible. Instrument_A applies proprietary processing to the raw data that is different than Instrument_B. Monte Carlo simulations could be compared more easily with raw line scans than processed data. Different SEMs can be more easily compared using the raw line scan. The signal/data/image processing procedure is assumed to be proprietary in most contemporary instruments and therefore there is no requirement for access to the raw data. But all processing of the signal should be described to the informed user. All SEMs have points in their electronics where the raw detector signal and the post-electronics signals are present; many times these points are accessible. In any advanced CD-SEM, a means to obtain this information must be provided and the ability to save these raw image/data/line scans made available Analysis and Monitoring of Instrument Sharpness The apparent beam width is one measure for determining instrument performance (Section 4.1.4). Instrument sharpness is another valuable metric directly related to the overall instrument performance. Postek and Vladar [35] and Vladar, et al. [36] have demonstrated that CD-SEMs performance drifts significantly with time. Monitoring the sharpness of the instrument either with a commercially available product such as SEM Monitor or integration of the sharpness algorithms available in the public domain [37] [38] should be done on a routine 14 An official AMAG Excel worksheet is available upon request from the AMAG chair

104 88 basis to ensure data integrity. A sharpness metric should be incorporated into the advanced CD-SEM and the performance metrics such as sharpness, kurtosis, and beam asymmetry should be logged and made available to the engineer. The monitoring of sharpness is facilitated by the use of the new Research Material (RM) Total Electron Total Dose for Each Measurement The advanced CD-SEM will calculate and log the electron dose (D) used for the individual measurements and for the whole process, including the search (pattern recognition) and focusing procedures. The total dose is a value calculated from the beam current (I), time (t), and area (A) irradiated during this process (D = I*t/A). The beam current (I) is established with a Faraday cup and a 0.1 pa sensitivity current meter. The frequency of this measurement depends on the instrument and the application. The thermally assisted field emission guns are generally relatively stable, so good results for current measurement can be obtained by measuring it only occasionally with a Faraday cup and relying on other means, like aperture current measurement between direct primary electron current measurement times. Cold field emission guns need a higher sampling frequency. The sampling frequencies should be user-definable in the software. Time (t) includes a summation of the total time in milliseconds during which the beam irradiates the sample. If this is not directly verifiable through specifying an integration time parameter, a digital counter can easily be used for this. The area (A), is given by the FOV (plus a little overscan frame around the edges). This area can be measured, if necessary, by saving images of dense line patterns of known pitch in both X and Y directions and checking the proportionality of the image size against the pitch size. For those SEMs that scan only a portion of the area of (e.g., only over 16, 3, etc. lines), the dose can be determined at the sampling site from the magnification and the scanned length Amount of Signal Smoothing for Each Measurement The type of signal (or image) processing/enhancement must be fully specified by the instrument manufacturer. The number of images/lines added to increase the SNR must be specified. The type of algorithm (e.g., running average of 3, 5, 7) to smooth the data should also be fully disclosed Detector Efficiency Specification Various detectors have various efficiencies. The advanced CD-SEM supplier must measure and quote the efficiency of the CD-SEM electron detector(s). Periodic testing should be incorporated into the system software. Measurement methods have been clearly defined [39]. One objective is to foster competition in this area to improve the detectors and thus the instruments.

105 9.1.6 Signal-to-Noise Ratio for Each Measurement Without a good measure of the SNR, measurements cannot be made with a given certainty. Current SEM-based measurements are often not done with the necessary minimum signal/data collection to provide a valid measurement. Conversely, when the SNR is good, time and throughput are lost because more data are collected than needed. One reason for this is that the measurement of SNR is not routinely done. Occasionally less data are collected than needed. Determination of correct measurement conditions should be done on advanced SEM instrumentation. Currently, a recipe that fulfills the requirements or recommendations of NIST (and other international organizations) for valid statistics in measurements cannot be set up because no one knows the SNR. The advanced CD-SEM must display the SNR and log this quantity with each measurement Proposed Procedure To measure SNR, the primary beam is blanked and unblanked on fresh Si, thick oxide, nitride, or polysilicon layers, then the sample moved to several places, and the signal and the noise calculated (background and location-to-location noise). This value may be current- and/or accelerating voltage-dependent, but will not be the same for various instruments even if they are of the same type, because it depends on detector efficiency, noise of the electronic circuitry, and other factors Pattern Recognition Error Log It is helpful to break out the causes of error during pattern recognition. Typically, the only information available is a correlation number indicating that nothing was found that exceeded a threshold for similarity. This could be due to many reasons. For example, the pattern may not be within the FOV (navigation error). Or the contrast of the feature may be sufficiently different from that of the template. Also, there can be a problem when several similar features occupy the field. This may not trigger an error, but the wrong pattern will often be captured when the threshold for acceptance is set too low. A warning should be available when two or more recognition algorithm scores are within 5%, for example. These errors need to be typed and logged so that they are available for a fishbone analysis of pattern recognition failures. This facility should be part of a complete error log including all pattern recognition, measurement algorithm, wafer handling errors, etc Forward/Reverse Scan Option Most current instruments employ digital scanning; this would be expected in any advanced instrument. Therefore, reversing the scan to test for charging or bandwidth problems should be possible. The ability to do random scanning or beam skipping of several pixels should also be possible and available Dark Current Level The dark current is the background electronic signature of the SEM electronics with the e-beam off. This should be equivalent to the situation when the e-beam is blanked, but it must be fully tested it since it may not be the same. Electronic noise can often be identified in the dark current image. An advanced SEM should have a monitoring procedure and an eliminating or compensating process to exclude the dark current from the measurement. The dark current should be displayed and logged. 89

106 Magnification Calibration Many current CD-SEMs have a mixture of hardware and software calibrations of the magnifications. Often the sensitivity of both these mechanisms is not adequate for the size of structures targeted for this instrument. The calibration of the magnification should be under total software control and the step size sufficiently small to meet the precision and accuracy needs of the targeted geometries Hysteresis Compensation Current instrumentation does not have adequate hysteresis compensation. Lens hysteresis relating to magnification and accelerating voltage changes and scan hysteresis relating to scan speed changes should be fully eliminated by hardware or software means in the advanced instrumentation Comprehensive Timestamps for all Measurements Suppliers should ensure their software allows the toolset to provide detailed timestamps (with 0.01 or s level certainty and reporting) so that each of the throughput parameters can be calculated directly. The software should also always report the exact time of each measurement in the standard results files (with the same 0.01 or s level certainty and reporting). 10 TOOL UTILITY AND AUTOMATION This section includes features customers would like in current and future tools. These affect efficiency of tool usage and utility. Section includes a survey to compare tools in a benchmark. An official AMAG Excel survey is available upon request from the AMAG chair Assist Handling Operator Assists The latest generation of CD-SEM is capable of standalone throughputs of 45 wafers per hour (wph), while typical throughput in a manufacturing environment is about 0 30 wph depending on sampling and operator strategies. A typical tool is therefore capable of running about 400 wafers/day (wpd). The amount of necessary operator intervention needed to complete recipe runs can be a significant factor in realizing this throughput. It should be measured as a percentage of the number of interventions on a given number of wafers. A good specification is a.5% recipe assist rate, which would be 10 interventions per 400 wafers. An assist on the CD-SEM would be anything that requires an operator s intervention to continue operating the tool, with the tool set in a mode that will not eject the wafer or pause to wait for intervention without all of the required measurements completed. Pattern recognition, focus failures or algorithm failures that are handled automatically by the tool by an auto-revisit site option would not be counted as an assist but would just impact cycle time. Metric For each wafer run as a portion of the repeatability and reproducibility tool evaluation, throughput evaluation, or any other measurements where entire wafers are to be measured in an automatic mode, monitor for recipe assists. The number of assists

107 91 divided by the total number of wafers gives the percentage. It is important to include a variety of different recipes. Assist Tracking It is difficult to track assists in a production environment because manufacturing operators typically are too busy to collect data. The tools should have an error log that notes recipe failure and reason (global alignment, optical focus, SEM PR, SEM focus, algorithm, etc.), date/time of the event, and occurrence of an operator assist. Testing this capability verifies the data against manual collection of assist data during the tool evaluation. 10. Ease of Use Simplified Graphic User Interface (with stripped down version available for operatorlevel access) Because the interface for most of the current tools is crowded with information and controls, the graphic user interface (GUI) needs to be well organized, with layers of features added to the basic interface as the user access level increases. The operator needs only to run jobs and access the data, and the interface should reflect this through fewer buttons and intuitive controls. Because this is a difficult quality to measure, no metric is specified. A count of the number of buttons/controls or the time to train a new user are possible ways to determine the ease of use. Another idea is to have someone who has never used the tool to run a job without training. This would show how intuitive and easy to navigate the interface is. Improved On-line Help An easily accessible, always available help system should be implemented on the tools. It should provide clear instructions on how to run a job, write a basic job, and manually navigate across a wafer and take a measurement. Online, in-depth algorithm explanations showing how the mathematics work, with examples, diagrams, and explanations for each resulting value should always be available. An online copy of the user s manual should be easily accessible through a help button. Metric Is a help system available? If so, can an inexperienced user perform a series of tasks with only the help system as a guide? The average time to complete the tasks could be a metric. Is the user s manual available online and easily accessible? Are there complete online algorithm explanations? Graphical File Manipulation System Some tools still currently use direct UNIX shell or DOS-type file manipulation systems. Compatible applications should be included to implement graphical or menu-driven file manipulation for downloading/moving/filing/transferring to floppy disk/deleting datafiles, images, etc. These applications should be properly protected from inexperienced user errors. Full online operating system help should also be provided for the operating system used. For UNIX, an online cheat sheet of commonly used commands should be easily accessible. Metric

108 9 What is the operating system, and is a graphical file manipulation interface available? Manual Measurement Utility Some suppliers tend to overlook how much customers rely on quick, convenient, precise, and accurate manual measurements. When developing a process, there can be many such measurements for which it is not feasible to create automated jobfiles (e.g., when exhaustively measuring optical proximity control [OPC] matrix patterns or when measuring a feature on the fly, that has inadequate pattern recognition or is only to be measured once [in which case setting up pattern recognition, etc. would be a waste of time, effort, and harddrive space]). Features needed for this are as follows: 1. Tools should conveniently and quickly measure as the recipe would, with the same magnification, beam conditions, and measurement algorithm, but with a manually placed measurement and autofocus site (i.e., autofocus site magnification or box size should be changeable/sizable as in automatic run).. Manual drop-and-drag ruler bars, which can be set to measure the distance between the bars in the horizontal, vertical, or any other specified angle. 3. Drop-and-drag cursors that measure the absolute distance between two points or independently report the X- and Y-components of their relative positions, including a sign convention of one relative to the other (i.e., polar and Cartesian coordinate relationships reported between two cursors). 4. For stage motion or beamshift, any global alignment correction vectors must be taken into account in the motions. 5. A way to move a specified variable distance repeatedly using arrow keys (distance not the same as the wafer map s die length or width). 6. Ability to change wafer load angle as a recipe-level variable (i.e., write a recipe that works at 180º, 90º, 45º, etc., not just zero). 7. Ability to rotate the measurement box (scan direction) at any desired angle up to 360º Recipe Creation Ease of Recipe Build Recipe construction involves many steps and a lot of time. The basis of all recipes created on the CD-SEM is approximately the same. Recipe creation on the best CD-SEM would therefore require the least amount of time (cost of ownership), fewest steps (least likely to fail), and automation where possible. Some special (supplier-specific) parameters on some CD-SEMs require operator definition. The number of these special inputs should be minimized (number of steps) through tool automation. Because it is difficult to define a metric for ease of recipe build, it is a qualitative decision to the user. Recipe build or operation could be tested by timing the recipe creation process and counting the number of required operator inputs. The test could be completed for various operator levels, recipe types, or fab conditions (e.g., how data like the wafer map are available online, automatically). Different operator levels could be used to determine ease of learning.

109 93 One proposed method of measuring this is to allow an experienced user (i.e., demo engineer) to build a recipe, unassisted by the evaluator, from scratch using the wafer and data sheet. Simplify Process for Writing Recipes An online wizard (defined here as online, step-by-step recipe programming guidance) to build recipes would be ideal. This would be a procedure or software assistant to walk a user, step-by-step, through the recipe setup. While programming interfaces is getting simpler, they still require training or familiarity with previous programming procedures. For the more experienced users, this simplified programming procedure should be optional. The simplified way should include create wafer map, define global alignment, go to area to measure, define FOV and measurement window, then click GO to let the tool do the rest: find the best pattern recognition sites and ask for confirmation. This simplification is hard to quantify. A few ideas are the total number of mouse clicks needed to complete a job, the time required to complete a job, or the steepness of the learning curve (time to train a new user). Also, the number of windows and/or screens that must be navigated can serve as a metric of the complexity of the programming procedure (current procedures that have windows popping up over other panels or require the user to toggle between two different screens add complexity and increase the amount of time to write a job). Metric Is an online wizard available? Sharing of Recipe Elements Although most CD-SEM tools be able to reuse job elements (e.g., pattern recognition images, measurement targets, etc.), the various implementations have their weaknesses. One supplier maintains all elements of the same type in a single directory, making it easy to access from any job and space-efficient (only one copy is stored on the hard drive), but a large number of elements is difficult to manage. Another supplier provides better organization of elements by device and layer, but the element must first be copied before it can be used in another device, adding more steps to the procedure and using up hard drive space. It would be desirable to combine the strengths of these implementations. Metric Survey the quality of the element sharing on the three above-mentioned conditions: space efficiency (is more than one copy maintained on the hard drive?), ease of use (fewer mouse clicks, windows, dialog boxes to access the shared element), organization (ability to organize by device, layer, feature, recipe element type, date/time, or other user defined category). Networking of Several SEMs All CD-SEMs should be able to connect to the fab LAN by Ethernet, token ring, or other standard protocol. A central recipe/data server should be connected to the LAN by the same protocols. This server would then house all the recipes for each tool to access. Further, the server should control each SEM remotely for all operations.

110 94 Remote Programming (without wafer) A recipe/data server must be able to create/edit/manage recipes remotely (using pre-learned template images for pattern recognition, pre-written algorithm files, etc., or a wafer on a remote SEM). This would benefit the engineer who helps manufacturing with a problematic or missing recipe but who is not in the fab. This same offline recipe/data server should be able to pull information from reticle, CAD, or other systems to create a recipe without requiring remote operation to a SEM (waferless creation). Pattern recognition might be a problem; however, CAD images of reticle information could be scaled to suitable magnifications to create pattern recognition template images with the necessary line edges. The remote recipe creation would use existing pattern recognition images/templates and call for external information such as wafer layout and alignment mark and measurement target coordinates, from which the recipe can be constructed. Recipes created remotely and entirely from mask or CAD data should be able to run on the first attempt after re-learning of pattern recognition image templates. Currently, most suppliers support some form of remote programming, although a wafer is ultimately needed to complete the job. Even jobs built with existing elements require some adjustments and optimization using an actual wafer. One possible feature would be using the CAD data to determine coordinates and even pattern recognition structures. If the pattern recognition is robust enough (immune to contrast changes and able to discount corner rounding, OPC structures, etc.), the engineer might be able to specify the structure to measure on the reticle design. The CD-SEM would automatically build the job, choosing the appropriate alignment, pattern recognition, and focus parameters. Metric How much of a job can be built offline? How much optimization is required with a wafer? Messaging A recipe should be able to display a message whenever this recipe is run, have several messages, and add messages from the corporate intranet. When an existing recipe is changed (may be with a protection flag ), there should be a popup confirm? and query for a comment. (i.e., why are you doing that?). Comments should be displayed both in edit mode and run mode. Several users privileges are needed. An edit log should include comments fields such as changed by [user] on [date] at [time], due to [comment]. Currently, most CD-SEMs save this information for the last revision, without a comment field. Flexible Wafer Map Setup A tool should provide the option to specify, when setting up a new wafer map, whether the notch is up or down, which die has coordinates 0,0, and which direction the coordinates go. Also, deleting individual die out of the matrix or adding fields around the edges should be options. Another convenience would allow the user, at the jobfile level, to define columns and rows with focus and exposure values (may be allowing the direct nicknaming of rows and columns).

111 10.4 Recipe Robustness Reduce Photoresist, Focus, and Algorithm Failures This is self-explanatory, although pattern recognition and SEM focus errors are often related to charging, and any reduction in charging would likely improve the automation robustness. Metric Create and run recipes on a variety of feature types and substrates. Count the number of failures in each category. Can be calculated from data from assist handling (Section 10.1) by individually tracking the results per each used recipe. Reduce Need for Operator/Engineer Intervention Some suppliers have implemented an auto repeat feature that revisits a site if there is a failure on the first attempt. This eliminates the need for an operator to manually revisit the site to obtain a measurement. In some cases, the data obtained from the second attempt is appended to the data stream, which is unsuitable for the equipment interface to automatically download the data and can cause confusion when one reviews the data file. In cases such as shrinking resist, the number of attempts should be recorded so that the site s history can be tracked. Also the option to turn off the auto-repeat feature must be available when one wishes to carefully control the number of site visits. During a production-style run, the tool should be able to remeasure failed die without reloading the wafer. Another advantageous feature is to allow for in-recipe CD specs, which can force the tool to automatically remeasure out-of-spec data and flyers. Metric Is an auto repeat feature available? How effective is the second attempt/what percentage of sites are successfully measured after an initial failure? Is the data inserted into the correct site or appended to the file? Is the number of attempts at a given site reported? Can the tool remeasure failed die without reloading the wafer? Does the tool allow for recipe-level specs for filtering flyers? Autofocus Flexibility and Robustness Some suppliers CD-SEMs currently execute autofocus by raster in only one direction, leading to more precise automatic measurement in one direction versus another (i.e., better for vertical measurement than horizontal). The user should be able to choose which direction the autofocus routine will raster at the measurement target level. It should be possible to do this when measuring manually. Measurement/Autofocus Box Sizes/Positions On tools that use a measurement/autofocus box scheme, measurement and autofocus box size (measurement/autofocus magnification) and distance/location from the pattern recognition feature should be measurable, and the ability to specify and input them numerically into a recipe should exist (in addition to any target relationship learned visually/graphically through the target learning routine). This can be an important parameter in tool designs that scale the magnification and/or charge density to a measurement box size. 95

112 96 Manual Overrides to Recipe The following capabilities are important for efficient operator intervention when required: 1. Execute global alignment manually by clicking on known pattern recognition sites (useful on wafers that are not typical or uniform).. Manually focus/stigmate for measurement. 3. Interrupt recipe and measure/remeasure manually. 4. Insert above the manual measurement result into results table. 5. Automatically pause or turn off the recipe run at the end of data collection but before ejecting the wafer, so that data can be examined, and some sites manually checked for remeasurement/verification Daily Maintenance/SEM Stability Monitoring Various electron gun parameters, such as the probe current, are normally checked manually and often only after a problem is suspected. The tool should be able to periodically and automatically read the gun parameters and either maintain a chart internally or send it to the fab equipment interface (fab s lot/tool status tracking database) system, which will track the performance on an statistical process control (SPC) chart. SEM Column and Electron Gun Monitoring A tool should be able to efficiently gather metrics for trending probe current, accelerating voltages, tip extraction current/voltage, gun-column vacuum level, gun stigmation, aperture settings, etc. An automatic logfile should record any changes to settings, with time, operator, old/new setting value, optional comment. It should be secure, but readable over the corporate intranet. Also a warning should be prominently displayed (pop-up window) if SPC is out of spec on any tool parameter. For troubleshooting, the auto-logfile should be accessible over the intranet and should be easily readable (i.e., not in code). A window should show all system parameters for easy checkup, with specs listed nearby (i.e., all system temperatures, vacuum levels, voltages, power supplies, communications status, etc.). Alternatively, the parameters must be able to be fed directly to the fab EI system. Automated Beam Alignment The individual steps of the beam wobble and the stigmation need to be automated. Once automated, a tool should be able to periodically check its own alignment and correct it when necessary. Metric Is there an autostigmation function? Test the effectiveness of the autostigmation by comparing the values obtained by the tool's algorithm and by best visual inspection and/or quantitative astigmatism measurement. Effectiveness of the autofocus and autostigmation routines can also be measured (Section 10.3).

113 10.6 Data Synthesis/Output Format/Measurement Types User Control of Data Format While suppliers offer different formats for output, ideally the user can determine what information needs to be included in the data stream and file (e.g., include the target name and field coordinates with the CD, but leave out the wafer coordinates). Also, the order of the output should be determined by the user, with a default measurement order available if no serial order is specified. The wafer orientation (notch up/down) and the selection of the origin of die coordinates should be user-selectable at the recipe level (i.e., one could have notch-up or notch-down recipes on the same SEM). The CD-SEM should be able to output data visually (or by printed media) in user-definable formats: 1. Running plot: CD vs. site for each measurement target. Wafer map overlay: Wafer map image showing the CD for each feature/chip measured. 3. Conventional tabular format. Each of these outputs should be in a format that can be directly ported to Microsoft Excel and/or Lotus 13. Graphs and wafer maps should also have the option of being saved as a standard image file (TIF, GIF, JPG, BMP, etc.) Metric Since no supplier currently allows the user to customize the data file format, it would be best to focus on the flexibility of the current formats. Is all of the desired information included in the data file? Does the file append revisited site measurements to the end of the file or embed them in their proper place? Is it possible to save a separate file for each wafer? Data Formats Easily Imported Into Spreadsheet Program The data and image files (also wafer maps and graphs) should be in a nonproprietary format (ASCII, JPEG, TIF, etc.). The data files, in particular, need to be easily imported into programs such as JMP, Excel, Lotus, or other conventional spreadsheet programs. Organize Data Into Wafer Map Format in addition to Traditional List of Numbers This should include the ability to display, along with the CD values, the field coordinates, focus/exposure, or other relevant process parameters, etc. Metric Does this option already exist? Can it be customized to include the desired information? Image File Format Saved image files should all be in a nonproprietary format (ASCII, JPEG,.TIF, PICT, etc.). These formats are defined as being fully viewable and transferable to offline software from third parties. On UNIX tools, an option for conversion of 16-bit.*.tif files to 8-bit *.tif files (as used by Windows software) should be made available to the user. All saves must have the option to be the exact same image as is seen in the image window when the image is saved (i.e., not manipulated by other means). This must be accompanied by options to add (or NOT to add) overlays showing magnification, field of view, beam condition, date and time, tool ID, wafer ID, site coordinates, ruler cursors, waveforms, cursor for beam 97

114 98 axis/center of field of view, gridlines e tenth of the field of view, etc. An option for saving the image with and without algorithm-defined filtering/smoothing is also necessary. These image formats should be available and selectable whether the images are acquired manually or in an automated recipe mode. Measurement Types Besides conventional measurements (linewidth bottom or top, some percentage combination of linewidth bottom and top, hole bottom or top diameters, maximum/minimum linewidths, etc.), several other quantities are desirable for many users. 1. Edge Width Measurement Along with the linewidth measurement, a tool should be able to report the edge width of each edge (the difference between the top and bottom on one side of a line). This gives the user information about the slopes of sidewalls. This measurement would be subject to both bottom and top algorithm parameters.. LER and LWR Measurement Evaluating/estimating LER is important for advanced photoresist work. Because CD-SEMs should be able to evaluate this quantity, tool suppliers should implement an LER measurement scheme. 3. Standard Deviation of Different Segments Within a Linewidth Measurement On most tools, a measurement consists of an average of measurements resulting from many individual waveforms from a large number of scans along a segment of a line feature. The standard deviation of these (or a multiple thereof, where 1, 1.5, or 3 are good values since 1.5 represents 3 / or 3 and 1 is equivalent to the root mean square [rms] roughness) gives the user a value that scales to LER. The 001 ITRS defines the LER as local linewidth variation (3 total, all frequency components included, both edges) evaluated along a linewidth segment of four technology nodes in length, which is equivalent to a factor of 3. This definition should rightfully be called linewidth roughness (LWR). LER will probably be redefined to the one-edge quantity. 4. Standard Deviation of Edge Position About Average Position From the waveforms resulting from each scanline, the absolute pixel position within the scan of an edge is calculated and the distance from the average edge position found. Standard deviations are then calculated on the distribution of these distances. If performed on each side of the line, this yields separate roughness values for the left edge and the right edge (or top and bottom, etc), which are reported as 3 values. The quadrature sum of the left and right 3 yields the total LER, and the average of the left and right 3 yields the average LER. 5. Range Within Linewidth Measurement On most tools, a measurement consists of an average of measurements resulting from many individual waveforms from a large number of scans along a segment of a line feature. Calculating half the maximum minus minimum of these measurements gives the user the absolute range within the length of line measured. Likewise, this range could be applied to any line-edge position measurement.

115 6. Standard Deviation of Edge Widths The edge width value (distance between top on bottom for one edge of a line) is sampled many times within the measurement box/window/gate and the 3 is returned. This also allows separate roughness values for each edge and factors in roughness at the top of the line. 7. Fourier Analysis A Fourier analysis of the edge or linewidth data would show how much each spatial frequency is contributing to the net LER value and could give insights into periodicity of the roughness of each edge. Higher frequencies correlate to higher device leakage current; it is also speculated that lower frequencies contribute to device speed variation. A scheme to calculate the roughness within a defined bandwidth of spatial frequencies could be of value. Generally, this refers to the Fourier power spectrum. Ability to show this spectrum and to calculate roughness for specific frequency ranges and report these values in real time (conceptually, think of a low-f measurement and a high-f measurement ) could be useful. Because the high frequency limit of such a measurement will be the image resolution, minimizing distance between linescans is important. Conversely, the low frequency limit of the measurement is the measurement box/gate/image size. Due to the statistical nature of roughness, a long segment of line should be sampled. Getting 3 4 nm resolution while sampling ~ µm or more of line length is important to getting good LER measurements. 8. Top and Profile LER The above quantities should apply to line bottoms (and/or foot if possible), line tops, and some intermediate height. Applying definitions to line tops will require a level of sophistication in top algorithms similar to those usually found for bottom algorithms. 9. Edge Locations The pixel locations of the edges for each linescan in a measurement should be available for output in a tabular format, which can then be analyzed externally by the user in whatever way desired. The AMAG believes that CD-SEM customers have bought an edge measuring system and thus should have access to such raw data. Some current tools leave this information in a black box, and AMAG wishes to convey that they find this to be unacceptable. 10. Double Patterning-related Measurement Capability Double-patterned (DP) features can come in various forms (e.g., spacer hardmask, double-exposed dual line or dual trench) and can conceivably be measured at various stages within a production process. In a line/space pattern, the most interesting patterns might have alternating lines consisting of alternating materials with CD, LER/LWR, profile, and height also potentially alternating (see Figure 30). Every other pitch might also alternate between two values. In less sophisticated forms of double patterning, pitches and CDs might alternate, but not the height, profile, or material. The DP of most interest in 009 is litho-freeze- 99

116 100 litho-etch (LFLE), in which chemical or thermal processing instead of a hardmask etch is used to save the first level litho. The challenges of measuring these on CD- SEMs are not expected to be Feature 1 Material 1 n1, k1 CD1 h1 SWA1 LER1/LWR1 Feature Material n, k CD h SWA LER/LWR etc CD1 Pitch1 CD Pitch Double Pitch (constant) Figure 30 Highlighting a Possible Structure for a Double-Patterned Feature (showing the level of complexity and the resulting expanded list of descriptive metrics for such a structure) severe, although accurate calibration of these alternating features may also alternate between two cases, necessitating discriminating between features and applying the correct calibration offset. Also, measurements of these features should ideally be able to report both pitches and both CDs and roughnesses as separate values, all from the acquisition and analysis of a single image. However, in the dual trench process, one key point of measurement for process control leaves the results of the first exposure buried, such that a dedicated mark may be required for the overlay (which controls the pitch balance). See Figure 31 for an illustration of the various double patterning schemes. Each scheme may have issues for different types of measurements; these will be the subject of future work. Contact Hole Measurements Tools should allow the user to measure several different aspects of contact holes: Radial/diameter average (specify if based on hole area, or not) Major axis Minor axis Orientation of major axis Eccentricity Absolute measurement along an orientation at any angle desired, such as along the X- or Y-axes

117 101 Dual Line (Double Exposure) Reticle #1 Dual Trench (Double Exposure) Reticle #1 Spacer Hard Mask (Single Exposure) Reticle Print Level #1 Lines (resist) Print Level #1 Trenches (resist) Print Sacrificial Lines (resist) Etch Level #1 Hardmask & Strip Resist Etch Level #1 Hardmask & Strip Resist Etch Sacrificial Hardmask & Strip Resist Spacer Deposition & Etch Reticle # Reticle # Filler Deposition Print Level # Lines (resist) Print Level # Trenches (resist) CMP Etch Final Hardmask & Strip Resist Etch Final Hardmask & Strip Resist Remove Sacrificial Filler Figure 31 Main Processing Steps for Typical Schemes of Double Patterning [69] Automated Position Measurements Tools should be able to automatically give the X- and Y-position of the center of a pattern recognition feature relative to the center of the pattern recognition field of view. This is a diagnostic that is useful in measuring navigation precision. Also, a method of measuring the location of the measurement feature within the measurement image is useful for measuring the reproducibility of box placement (for example, the X and Y coordinates of the center of a contact hole within the measurement FOV) Data Analysis Tools Links to Image Files/Waveform The system should provide a method for linking the CD data to any images or waveforms/linescans saved. Use of HTTP links would probably offer the easiest and most desirable implementation. Offline Measurements on Stored Images/Signal It should be possible to perform measurements on saved images and/or waveforms. This would typically be done on the remote workstation, but should be available on the system as well. It should not slow down the system database.

118 10 Supplier-made PC software utility with that supplier s proprietary algorithms should be available so that various functions can be done at the desk PC. Statistical and Process Analysis Certain CD statistics, such as 3 σ, should be automatically calculated and included with both the displayed results and the data file. There should be the option to determine what statistics need to be calculated. Ultimately, there should be advanced features such as the ability to plot swing curves, determine process windows, etc. (e.g., with a FEM package such as Finle that is capable of process window analysis). Metric The metric for all of these will probably involve the current availability (or planned availability) and capability of these data analysis tools. Trace of Die Measurement Order Ability to automatically print a wafer map with die numbered in order of measurement Networking Easily Connected to Corporate Network with Complete File Sharing The networking services should be robust and easily connected to the current infrastructure for downloading to individual desktop systems. Through this connection, a user should be able to remotely access any saved image files, waveform files, recipe parameters, data files, or logfiles. On the SEM, any user should be able to save these files to any device on the network by using a simple graphical or menu-driven operating environment (i.e., no moving to UNIX shell). Transfer to Desktop Machines Should be Transparent Data transfers should be based on standard protocols (FTP, HTTP, etc.), available to any computer connected to the network, and require minimal instruction for users to learn. The same applies to saving files to a remote computer on the network from the SEM station or any SEM servers. Automatic Transfer of Data, Waveform, or Image Files to Locations on Corporate Intranet Copies of all data files, waveforms files, images, etc. should automatically be saved at a location on a prescribed corporate intranet backup drive for archiving. Direct Connection to Tool Supplier for Monitoring Error Logfiles and Correcting Software Errors Access to the system by an offsite supplier (through or, preferably, around the customer s firewall by lines isolated from the corporate intranet) would improve repair times of various software and hardware issues, allowing for almost instantaneous diagnosis of tool issues by an offsite expert. The system should have an online method to signal the supplier to make an online service call. The supplier could then access the error logfiles or check for corrupted software or files or run hardware diagnostics remotely. The supplier could then download fixes or get the information to fix an issue to the right person, saving diagnosis time.

119 10.9 Automatic Recipe Setup If the items in Section 10.3 and library/models are in place for a process, not much more is required to automatically set up recipes for new parts. An online file with coordinates for the global alignment marks and gratings and wafer setup can be provided from the.gds file of the reticle. If this is fed into the tool in the right format and template targets are available under a known naming convention that corresponds to process steps, a tool can be programmed to automatically write the job with these inputs. This can be of great use with imaging tools such as CD- SEMs. Automatic recipe setup is generally deemed important for efficiency in a production environment, where tool time is scarce and new products are introduced daily. Production efficiency is not the only reason for the power of such applications, however. An imaging tool has the power to discretely measure different unique features within a field; other CD metrology techniques, such as OCD, must measure a grating target that may or may not represent what is going on in more complex patterning within a field. The CD-SEM, armed with automatic recipe setup, is ideal for bridging the behavior of in-die features to such scribe lane gratings. To apply OPC corrections, extensive CD measurements are needed. Engineers who calibrate OPC models and perform OPC verification, across chip line-width variation (ACLV), optical rule check (ORC) etc., need to perform between hundreds and thousands of measurements per field on the wafer. This required extensive engineering time for recipe generation and measurements at all OPC locations as well as extensive tool time: more metrology tools are needed. To meet these challenges, OPC design software and CD metrology tools must be automated to take the input from design, drive the CD-SEM tool the appropriate locations, and provide CD measurements (DBM). CD SEM tool suppliers are currently developing design-based metrology (DBM to eliminate the manual procedure of setting the CD metrology conditions for each measurement. This application uses pre-defined OPC locations and sophisticated intelligent algorithms for pattern recognition at each site to replace the manual recipe setup operations and to enable automated setup of metrology conditions for each measurement. DBM software/hardware specifications include the following: OPC locations from design Pattern recognition for automation Data analysis Lithography image placement error is also potentially measured using these applications. This is thought to be a major source of future overlay error. To analyze the production-worthiness of automatic recipe setup applications, several key metrics should be evaluated: Pattern recognition success rate Measurement image placement error Stage precision Throughput (MAM time) 103

120 104 Recipe setup time (probably should be normalized to a per-site value as MAM time is) Different sections of this specification should adequately address the metrics above Benchmark Metric Survey An official AMAG Excel survey is available upon request from the AMAG chair. 11 RELIABILITY 11.1 CD-SEM Performance and CD-SEM Supplier Performance Overall CD-SEM performance must include tool reliability, software reliability, and quality supplier support. The Equipment Productivity Improvement Team (EPIT) group has developed a survey to gauge tool reliability throughout the member companies and to measure the subjective metric of supplier performance. This survey has been incorporated into the Unified CD-SEM Specification to give a more complete picture of the performance of CD- SEM manufacturers. 11. CD-SEM Performance Benchmark Survey The survey should be filled out for each company location that currently uses CD-SEM tools. This survey is meant to reflect the performance of the equipment supplier and equipment during the preceding six months. An official AMAG Excel survey is available upon request from the AMAG chair. I do not want to share benchmarking information with the supplier.

121 105 Part 1: CD-SEM Reliability Survey Company/Location: Manufacturer Manufacturer Model Numbers Number of Tools Wafer Size (mm) Software Version Geometry (µm) MTBF (hrs) MTTR (hrs) Availability/% Utilization/% Throughput/Tool, Day Scheduled Downtime/Month Insp. Wafer/Lot (lot size = 5) TOP 3 5 Failures

122 106 Part : Supplier Performance Survey Note: Please write a justification for any score lower than 3 (1 or ). Any low score without a justification will be automatically upgraded to a 3. Space for justifications are at end of Part. Scale: 0 = N/A not applicable 1 = Unacceptable consistently does not meet expectations = Improvement needed meets expectations in some areas 3 = Average/Satisfactory meets expectations 4 = Exceeds some expectations meets all expectations 5 = Best of Breed Response Response to sales and service issues 6. Availability of service Availability of field service personnel 7. Proper documentation Availability of adequate system documents (hardware, software, SECS/GEM) 8. Parts availability Replacement parts availability and lead time 9. Parts quality Component parts quality 10. Supplier training Customer training availability and quality 11. Supplier feedback (to solve problems) Sufficient supplier feedback to resolve issues 1. Cost of ownership Effectiveness in reducing cost of ownership 13. Root cause analysis Determine root cause of issue and provide customer feedback 14. Supplier provides performance metrics Performance metrics, such as OEE, MTBF, MTTR, availability, and throughput are provided for member company benchmarking 15. Communicating improvements and fixes to the field Communication of improvements and repairs to the customer in a timely basis 16. Software/ hardware upgrades (communications) Communication of hardware and software upgrades to the customer in a timely basis 17. Support parts availability (older tools) Availability of component and replacement parts for previous generation equipment 18. Paperless documentation Availability of paperless documentation (i.e., technical manuals on CD ROM) 19. Shipping new equipment without defects New equipment is functional soon after it is received

123 Working upgrades Factory upgrades function correctly when installed at customer site 1. Performance metrics used in specs Use of performance metrics for quoting specifications in purchase document. Trouble shooting methodology Documented troubleshooting methodology 3. Time to market for fixes Response time for resolving critical issues 4. Source inspections Flexibility of supplier in addressing and resolving issues during source inspections 5. Standardization of components Standardization of components 6. Competence (service personnel) Competence of service personnel 7. Service during warranty or service contract period Supplier able to address tool issues with little technical assistance from member company personnel 8. Warranty policy Meeting terms and conditions of warranty policy 9. Solutions vs. Short term fixes Supplier provides permanent solutions to issues, not temporary fixes 30. Tool performance meets supplier specifications Tool performance meets or exceeds the supplier specifications 31. Supplier response to new feature and enhancement requests Supplier provides a timely response to status and priority of new features and enhancement requests 3. Adaptability to third party modifications Flexibility of supplier to work with (reasonable) third party modifications for problem resolutions or performance enhancements 33. Software quality Satisfaction with supplier s software (performance, reliability, documentation, SECS/ GEM compliance, ease of use, etc.) Low score justification for: Question # Question # Question # Question #

124 108 Part 3: Top Issues for Improvement Survey Note: Please write a brief description of the top 3 issues that should receive priority from the CD-SEM supplier. Top Issues Equipment Related #1 Equipment Issue # Equipment Issue #3 Equipment Issue Top Issues Process Related #1 Process Issue # Process Issue #3 Process Issue Top Issues Software Related#1 Software Issue # Software Issue #3 Software Issue Top Issues Miscellaneous #1 Miscellaneous Issue # Miscellaneous Issue #3 Miscellaneous Issue Equipment Improvement Suggestions Suggestion 1 Suggestion Suggestion 3

125 11.3 Survey Evaluation Part 1: CD-SEM Reliability Survey will consist of a simple tabulation of CD-SEM models available to be surveyed and their respective performance metrics. Part : Supplier Performance Survey will consist of an average of the member company ranking and a summary of responses to low scores. Part 3: Top Issues for Improvement Survey will consist of the top three most common response to top issues and top suggestions for improvement COST OF OWNERSHIP Cost of ownership (COO) is a ubiquitous standard when purchasing a tool. The CD-SEM participants will be surveyed for basic COO considerations of their benchmarked products. 1.1 Base Unit Configuration What performance enhancement options are not included in the purchase of a baseline CD-SEM? Offline station Included/Not Included Offline recipe editor Included/Not Included Offline data management software Included/Not Included Data analysis software Included/Not Included Recipe configuration options Included/Not Included Measurement enhancement options Included/Not Included Surge protection/line conditioner Included/Not Included Vacuum pumps Included/Not Included High performance vacuum pumps Included/Not Included SECS/GEM Included/Not Included 300/00mm bridge capability Included/Not Included Reticle loader Included/Not Included Interferometer controlled stage Included/Not Included Paper/Data printer Included/Not Included Photo/Image printer Included/Not Included On board memory capacity Bytes Other

126 Utilities Survey What utilities are required for all tool operations and consumption thereof? Electric Utility volts/amperage Electric Consumption watts Clean dry air yes/no Clean dry air consumption liters/minute Nitrogen yes/no Nitrogen Consumption liters/minute Exhaust liters/minute Process cooling water yes/no Process cooling water liters/minute House Vacuum yes/no House Vacuum liters/minute Other 1.3 Consumables What is the projected annual consumption of consumables for the first year of operation? List the scheduled consumables used in the first year of operation: 1.4 Fab Area Requirement What will be the total footprint of the CD-SEM? Coverage area of CD-SEM main body meters Coverage area of user interface module meters Coverage area of ancillary electronics rack meters Coverage area of vacuum pumps meters Coverage area of maintenance space meters Coverage area of user/chair area meters

127 Installation Costs What components are required at an added cost for installation of a CD-SEM? Vacuum pump interface Included/Not Included Bulkhead mount Included/Not Included Water chiller Included/Not Included Uninterruptible Power Supply Included/Not Included What are the installation requirements? Isolation table vibration sensitivity Sound wave sensitivity Magnetic field sensitivity 1.6 Productivity What are the regularly scheduled downtime requirements of the CD-SEM? Throughput over a 4-hour period, including daily schedule down time Time for daily/shift beam setup and/or PM Time for weekly PM Time for monthly PM Time for quarterly PM Time for annual PM 1.7 Evaluation Metrics for consumables and utilities will focus on cost factors rather than actual cost due to regional cost variations and negotiated price variations. A quantitative and qualitative analysis of installation and performance options will be made by a consensus of AMAG members on minimum operational requirements not included in the setup of a baseline CD-SEM. Footprint, productivity, and installation requirements can provide a straight quantitative comparison.

128 11 13 SURVEY OF SUPPLIER SPECIFICATIONS This section is a guide to the information a prospective CD-SEM buyer/owner/benchmarker should get up front and in writing from the tool supplier. This information can also be used to add perspective to the benchmark data. Important specifications are as follows: R&R Line/Space (dense) (as a function of CD at typical aspect ratios, prescribe all conditions) R&R Line/Space (isolated) (as a function of CD at typical aspect ratios, prescribe all conditions) R&R Contact holes (as a function of CD at typical aspect ratios, prescribe all conditions) Matching Highest Aspect Ratio ABW Image Resolution Linewidth Accuracy Stage Precision Stage Accuracy Stage Speed Optical Mag values SEM Mag/FOV values/range Throughput System Dimensions/Footprint Facilities Loading/cassette configurations Column vacuum Particle spec Beam Currents Beam Acc. Voltage range MTBF MTTR Noise specs Tilt Beam? If so, what angle range is available? Precision and accuracy in height and sidewall angle? Specs for master computer, including speed, operating system, memory, data storage peripherals, file storage space (hard drive capacity)

129 113 An official AMAG Excel survey is available upon request from the AMAG chair. 14 PRECISION AND ACCURACY OF PROFILE MEASUREMENTS 14.1 Introduction Recently, some CD-SEM suppliers have implemented into their SEM columns the ability to electronically tilt beams at small angles. This allows profile height and sidewall angle to be measured through stereographic imaging of a feature from two different aspects. Alternatively, other methodologies exist for calculating the profile from solutions of inverse scattering. Either of these methodologies produces profile measurements of feature height, sidewall angle, and profile shape. Studies have been published analyzing these systems [4] [5]. 14. Methodology Select sites from a 5-site FEM (see Section 3). The sites should range stepper focus as much as possible to sample a wide variety of profiles. Both the largest and smallest exposure columns of the FEM should be used to check for size dependence, along with five more sites to test in between. Each site is measured three times. The tool supplier selects all imaging/parameter/beam conditions. Because the time between measurements/tool instability is probably not as great an issue as charging/contamination, the three runs can be done simultaneously, unloading the wafer between runs Analysis Precision is calculated as three times the square root of the average of the site variances. Precision should be calculated for both height and sidewall angle. Accuracy is also reported in both profile height and sidewall angle. To determine accuracy, report the correlation of the average of each site versus values measured from a reference measurement tool (RMS, could be either AFM or XSEM, but XSEM is probably now the safer choice). The Mandel correlation (see Section 4) should be used. Also of interest are the average and 3 of the site differences between tilt CD-SEM and RMS Proposed Process Layers As this technique is in its infancy, the first benchmark should use the following features: Poly-Si iso lines as a simple feature 48 nm (KrF) resist iso lines for rougher, more variable profile target (preferably exposed with a binary reticle) 193 nm (ArF) resist iso lines to check for resist shrinkage issues Contact holes in 48 nm resist

130 ROUGHNESS (LER AND LWR) MEASUREMENT It is becoming important to evaluate LER and LWR for advanced photoresist work and poly gate characterization. CD-SEMs have good capability to measure this quantity, and all tool suppliers have implemented some form of LER measurement scheme. However, the community of those who measure these quantities are not using a standard solution. There are no NIST or SEMI standard models for these measurements or calculations. Different tools, different recipe setups, use of whatever condition which was left on the tool by the last user by novices or the nonmetrology-initiated, use of images with different offline software, and lack of understanding of the limitations of the tool capabilities are causing many problems in compatibility of data across the community, i.e., in the literature. The AMAG, in a joint project with NIST, has attempted to define a roughness measurement that can be adopted to decrease this issue. It is presented here, and after some further consideration, the method will eventually be submitted as a SEMI standard. The definition used here will not define e nuance of how to perform the measurement on a given setup, but will give a model of how to calculate the quantity from given edge data, and a method to validate the measurements and assign an error bar. It should also be noted that the work shown here eventually was key in establishing the SEMI standard for roughness measurement [70] Background About Roughness Measurement This section is a partial reprint of a recent work that gives important background information about roughness measurement [40] [41]. 15. Importance of Roughness Measurement The sizes and shapes of MOS transistor gates define essential characteristics of the integrated circuit (IC). As gates shrink, even small departures from the intended shape and size may represent a significant fraction of the gate area. The phenomenon of variation of a linear feature s width (CD) along its length is LWR. The one edge equivalent, the phenomenon of the meandering of a single edge along its length, is LER. Edge roughness needs to be measured and controlled because it has been observed to be detrimental to IC performance [4]. If a gate s width is not constant, the narrowest section of the channel will carry a disproportionate share of the current. Roughness is a random statistical phenomenon; it can cause variations in channel length from transistor to transistor that may in turn result in circuit timing issues. Off-state leakage currents and device drive currents are thought to be affected [43]. Since the polycrystalline silicon ( poly ) gate acts as the mask for dopant implant, a rough edge will affect dopant distributions after diffusion. Roughness at wavelengths large compared to diffusion lengths affects the shape of the doped volume, while shorter wavelength roughness affects the dopant concentration gradient. Roughness metrology on resist is important because it is desirable to measure structures for screening purposes before etch. In turn, roughness in resist is thought to be caused by a multitude of variables, including aerial image fluctuations, resist material properties, acid diffusion, conditions during development, and possibly reticle roughness [44]. The extent to which roughness in resist transfers into silicon at etch probably depends upon the wavelength of the roughness, with short wavelengths likely transferring less than longer ones. Roughness is a variation from a mean (i.e., a variance). Linewidth variation (LWV) is a roughness at a longer wavelength, resulting from measuring the variance of multiple but

131 nominally the same lines. The boundary between LWR and LWV is not really defined and is vague, but all can be considered to be part of the same continuum of variation that is always found in any process due to so many nanoscopic uncontrollable variables in forming the structures Roughness in 003 ITRS 15 As some of the preceding examples indicate, there are good reasons to measure roughness wavelengths (or frequencies) as well as amplitudes. With the 003 edition of the ITRS [45] metrology specifications are evolving in recognition of this. As the linewidth variation is the determining factor of roughness effect on product, the ITRS now specifies LWR over a window of spatial frequencies. Previous versions specified LER with no frequency window. The 003 roadmap requires that the LWR lithography control, the amount of LWR tolerance in product for a given technology, be 8% of the etched gate length (previous roadmap required 5% of printed gate length). Precision (i.e., 3 repeatability) is required to be no worse than 0% of the manufacturing tolerance. Roadmap values for LWR control and required metrology precision can be seen in Table 15. The lithography roadmap definition for the LWR metric is 3 of total linewidth variation, evaluated along a distance that allows assessment of spatial wavelengths up to two times the technology node, while sampling the low-end spatial wavelengths down to a limit defined by x j, the low-end-of-range of the drain extension found in the Thermal and Thin Film, Doping and Etching Technology Requirements Table in the ITRS. When LER is of concern, its metric is defined as LER = LWR/, assuming uncorrelated edges. 115 Table 15 ITRS Values for LWR Control and Required Metrology Precision Technology Node 130 nm 115 nm 100 nm 90 nm 65 nm 45 nm 3 nm nm 18 nm Year of Production DRAM ½ pitch (nm) MPU Printed Gate Length (nm) MPU Etched Gate Length (nm) LWR Control, < 8% of etched gate (nm) Precision of LWR measurement (nm) Precision of LER measurement (nm) DRAM Pitch (nm) x j (low end) (nm) Length of Segment (nm) Sampling of Distance (nm) Note: Selected sections of the 003 International Roadmap for Semiconductors (ITRS) [45] for CD Metrology that are applicable to roughness. Note: the terms Length of Segment and Sampling Distance here (tabulated from above ITRS quantities) are equivalent to Evaluation Length and Sampling Interval in traditional surface roughness standards [46]. 15 Unchanged as of the 006 ITRS.

132 116 Thus the range of spatial frequencies is 1/x j to 1/pitch. The rationale for this range is as follows. The smallest spatial frequency (longest wavelength) is chosen to distinguish LWR from CD variation. If one considers a transistor gate that serially overlaps different active regions, the average gate length may be different over each active region. Changes in width from transistor to transistor are considered as CD variation, while changes in width within a single transistor are included in LWR. The upper end of the spatial frequency range (small wavelength) represents considerations of diffusion. Dopants will diffuse more under fast-varying roughness than under the rough parts of the gate. This study addresses some of the issues in the metrology of linewidth and line edge roughness. Section 15.. discusses several metrics, paying particular attention to careful definition of the ones use in this report, but also including a few metrics that not currently being used, to illustrate some of the options. Section addresses the question of how to choose the length of line to measure and the sampling distance for the measurement to meet the frequency range and precision requirements given in the ITRS. The roughness values so determined may still be subject to measurement artifacts. Section discusses the effect of noise on roughness determination. Roughness test patterns have been measured. These measurements included studies of the repeatability of roughness measurements in the presence of varying amounts of noise and as a function of instrument focus. These measurements and the results are described in Roughness Metrics It is conceptually useful to distinguish between a phenomenon and the various ways the phenomenon may be measured (various metrics). To take a familiar case by way of analogy, distributions of measured values have a center. There are several metrics, including the mean, the median, and the most likely value, that quantify the position of such a center. Similarly, fabricated structures exhibit random differences from their design. When the structures are intended to have straight edges and uniform width, this phenomenon is called line edge or linewidth roughness (LER or LWR). For all of the metrics given below, measured N edge positions (X i ) or widths (W i ) at measurement interval () are assumed. Further, it is assumed that a line of best fit is subtracted from the edge positions, or an average value from the widths, to produce edge residuals (x i ) or width residuals (w i ) (see an example in Figure 34b). That is, x i X ai b Eq. [37] where a and b are determined by a linear least squares fit to the X i vs. i curve. Similarly with W the average width defined in the usual way as W i / N. i w W W Eq. [38] i Although major CD-SEM suppliers now offer various LER measurement solutions, definitions and sampling capabilities are not standardized. The measurement metrics typically offered are combinations of LWR, LER of a single edge, total LER ( edges summed in quadrature), or range roughness, although leading edge tools are starting to offer more capability. The following list of metrics is not exhaustive, and not all are available on existing tools. The root mean square measures (Section ) and the power spectral measures (Section ) are of particular interest for this report. The others are included to provide some of the flavor of what is possible. i

133 Range For a given sampled line segment the range is 117 For LER: R E = max(x) min(x) Eq. [39] For LWR: R W = max(w) min(w) Eq. [40] where the max and min operations are understood to cover all x i and w i within the specified segment. Here and throughout, the E and W subscripts refer to the edge and width measures Average Roughness These are arithmetic measures of average roughness, hence the a subscript. These definitions follow a published standard for surface roughness [46], though there is some question whether they might be better with N and N 1 in their respective denominators Mean Square Roughness For LER : For LWR : 1 N 1 Ea x i N i0 R Eq. [41] 1 N 1 Wa w i N i0 R Eq. [4] These are quadratic measures of roughness, hence the q subscript. They are standard deviations, with the factors of N 1 and N reflecting the number of degrees of freedom, as reduced by the 1 parameter (i.e., averaging) or parameter (i.e., linear) fits in Eq. [38] and Eq. [37]. The ITRS linewidth roughness definition appears to be essentially 3R Wq. For LER : For LWR : 1 N 1 Eq x i N i0 R Eq. [43] 1 N 1 Wq w i N 1 i0 R Eq. [44] Some instrument manufacturers supply a total line edge roughness metric. R T R R Eq. [45] Eq _ left Eq _ right Here the left and right subscripts refer to the left and right edges of a line. For a line without taper the total roughness is related to R Wq by R Wq = R T cr Eq_left R Eq_right with c being the correlation coefficient between the two edges [47] (see Section 15..7). If the left and right edges are uncorrelated, as is normally assumed, then the total roughness is equal to R Wq. However, it is just as easy, and safer, to determine R Wq from Eq. [44], which is valid even if there are non-zero correlations. R Wq is a function of R Eq_right, R Eq_left, and c. Examples of the effect of c upon R Wq is given in Figure 3 for the simple case of equal left and right edge roughness, reduced for illustrative purposes to a single sine wave each. The graph on the left shows non-correlated edges (c = 0, sine waves 90 out of phase). R Wq is the quadrature sum of each R Eq, so R Wq = R Eq. The middle

134 118 graph shows perfectly correlated edges (c = 1, sine waves in phase). R Wq = 0, since CD (distance between edges) is constant. The graph on the right shows perfectly anti-correlated edges (c = -1, sine waves 180 out of phase). R Wq = R Eq, as when two periodic functions add in superposition. 50 Line 50 Line 50 Line Pos (nm) Pos (nm) Pos (nm) Scan Scan Scan a) Non-correlated Sine Waves (90 out of phase) b) Correlated Sine Waves (in phase) c) Anti-correlated Sine Waves (180 out of phase) Figure 3 Examples of Different Cases of Correlation Anticorrelated edges (Figure 3c) are more of an issue than correlated edges (Figure 3b), since anticorrelated edges result in more R Wq. Used with care, the correlation coefficient can be a good diagnostic. Correlation c might be significantly non-zero due to non-random factors such as optical proximity effects, topography, granularity of resist or poly-si, or due to a line being close to a random defect or particle. Before concluding, however, that a given nonzero value of c points to such an effect, consider that c determined from a finite sample is an estimate and is itself a random variable. A set of edges that, taken together, appear to have an average correlation coefficient close to 0 (e.g., the many edges forming the distribution in Figure 33, with correlation centered near c = 0) may nevertheless have individual members with rather large correlation coefficients (the wide tails). This implies that R Wq is a better measure than R Eq since correlation is included. Likewise, R T should thus be avoided, as the correlation information is lost Count Count Avg. 80 count Note: Histogram of correlation values observed in 98 different isolated resist lines, shown both smoothed and unsmoothed. Correlation coefficient is called r in the graph; it is the same as c mentioned above. r Figure Different DUV Resist Iso Lines

135 Amplitude Density Functions All of the previous metrics have been attempts to characterize by a single number a distribution around a central value. It is also possible to characterize this distribution with a function, ADF(z). In this function, z is a value for the residual. ADF stands for amplitude density function. ADF(z)z is the probability that a particular measured residual will lie between z and z + z. (Figure 34c Figure 34e) In practice ADF(z) is estimated by normalizing the histogram of the binned residuals: ADF z H z, z Nz 119 Eq. [46] There are edge and width versions of the ADF function, depending upon which list of residuals, w i or x i, are used. Here H(z, z) is the histogram (i.e., the number of residuals that lie between z and z + z [z is the bin size]). The normalization insures that the sum of ADF(z)z over all the bins (or in the limit z 0, the integral of ADF(z)z over all z) is 1. For a good estimate the bin size must be judiciously chosen, small enough for good resolution but large enough for good statistics in each bin Power Spectral Density The foregoing have all been amplitude measures of roughness. They contain no information about roughness wavelengths or characteristic sizes of roughness asperities in the direction parallel to the line. Because the effect of roughness on a device may depend upon its wavelength as well as its amplitude, it is important to have measures that include such information. One of these is the power spectral density, or PSD [48] [49] [50] which is related to the Fourier transform. The coefficients of the discrete Fourier transform of a series (w j ) are given by [51]. C k N 1 ijk / N wje k 0,..., N 1 j0 Eq. [47] These may be conveniently calculated using a fast Fourier transform (FFT) algorithm. Since the width residuals (or edge position residuals) are real valued, this transform has even symmetry around k = 0. The periodogram estimate of the power spectral density may then be defined in terms of the Fourier transform coefficients as P P P 0 Pf fk Pfk Ck CN k f Pf c 0 N N / C0 N N C N / k 0,, N 1 Eq. [48] where f k k k 0, 1,, N /. Eq. [49] N

136 10 Count Line Edge b Edge a edge a corr edge b adj scan A) Line 16 Residuals edge b corr edge a corr 14 1 Edge b CD res CD Edge a scan Edge b (top) Position Count LER a LER b LWR TLER r (corr) r Avg. CD CD Min CD Max CD Range r min r * LWR min LWR max AutoCorr a AutoCorr b AutoCorr CD B) Residuals F) -4 Edge a (bottom) Position 4 6 Count CD CD Edge b y = x R = C) Edge b D) Edge a E) CD I) Point Correlation Scattergram Edge a PSD (nm 3 ) 1.E+03 1 CD PSD a PSD b E+0 PSD CD Edge a E+01 Edge b E+00 1.E-01 1.E-0 1.E-03 1.E-0 1.E-01 1.E+00 f (1/nm) r Edge b CD x autocorr a autocorr b autocorr cd Edge a G) Fourier PSD (averaged) H) AutoCorr Note: Various metrics are applied to the line shown at the bottom of the figure. The case shown is one of the etched poly repeatability images of a dense line. A: Line edges, a on bottom, b on top. B: Blow-up of residuals, a on bottom, b on top, w in middle (labeled CD ). C, D, & E: histograms of the edge locations a, b, and CD, respectively. All have a roughly Gaussian behavior (but this is not always so). F: Calculated metrics; note that r = for this example, so these edges are uncorrelated; this is not always so. G: Fourier Power Spectral Density. Note the 1/f.3 slope on the log/log plot; a true random walk characteristic in roughness will lead to a 1/f slope.. H: Autocorrelation functions for edges a, b and CD. The 1/e (~0.36) crossing is a measure of the correlation length. I: Scatter plot of correlation between edges. All dimensions are in nanometers. Figure 34 Example of Roughness Metrics

137 The middle expression in Eq. [48] sums contributions from both the positive and negative frequencies present in the Fourier transform, to produce a one-sided (positive frequencies only) PSD. The above definition follows ref. [51] except for the factor of the sampling interval (), which is required on dimensional grounds for real profiles. Like the ADF, the PSD is not a single number but a curve (Figure 34g). The discrete form of Parseval s theorem is N 1 k0 / 1 N k0 11 w k Pk Eq. [50] N It is easy to see by comparison to the definition of R Wq in Eq. [44] that the PSD is related to the rms LWR metric by N / 1 Wq N 1 N P k k0 R Eq. [51] The expression for R Eq is similar, except the factor of N-1 in the denominator becomes N- and the P k must be defined in terms of the edge residuals instead of the width residuals. This means the area under the PSD curve is related to the rms measure of roughness. This can be generalized by summing only those P k between specified limits corresponding to f min < f < f max to determine the rms roughness contributed only by relevant frequencies Autocorrelation Function and Correlation Length The autocorrelation function can be calculated from the inverse Fourier transform of the PSD. Alternatively, it can be computed directly from the measured widths by i 1 c Wq i N 1 R k w k w k Eq. [5] A similar definition applies for the edge correlation function except for the use of edge instead of width residuals and a factor of N- in the denominator instead of N-1. The amount (i) by which one copy of the curve is shifted with respect to itself before multiplying is referred to as the lag. It may be positive or negative. The autocorrelation has its maximum value of 1 at a lag of 0. For randomly rough (nonperiodic) edges produced by a stationary process the autocorrelation is expected to tend towards zero for increasing lag (there are, however, practical issues in the estimation of correlation functions from finite length series. For instance, background subtraction can produce artifacts in the curve [5] [53]. The decrease in c occurs over a characteristic distance, called the correlation length. This length may be characteristic of a grain size or other physical phenomenon that sets a lateral distance scale for the roughness. As with line edge roughness, there are different metrics for the correlation length. It may be defined as the point at which the correlation decreases below a threshold such as 1/e, or it may be determined by fitting an exponential or other suitable function to the neighborhood around zero lag (Figure 34h) How to Sample Lines for Roughness Measurement The ITRS requires that part of the roughness that occurs between specified frequency limits (f min < f < f max ) be estimated and that this be done with a specified precision. Suppose the widths (or edge positions) at N positions over a length (L) of the line are measured. From these, the average

138 1 width is subtracted, leaving width residuals (w k ) corresponding to positions k, k = 0, 1, N-1 with = L/N. How then should and N be chosen to meet the requirements? Eq. [51] can be generalized by summing only those P k between the specified frequency limits to determine the root mean square roughness contributed only by relevant frequencies. The first constraint upon the choice of N and L is determined by the requirement that the full frequency range of interest be contained within the PSD. The largest frequency in the PSD is the Nyquist frequency, f c = 1/(). The requirement that this frequency be greater than or equal to f max translates to 1/(f max ). The smallest non-zero frequency component of the PSD is 1/L. If this is to be less than or equal to f min, L must be 1/f min. These conditions are necessary but perhaps not sufficient. The line segment of length L is a finite sample, so the corresponding roughness estimate is subject to sampling error. If the corresponding uncertainty is not good enough, yet stricter sampling requirements may need to be imposed. There is an extensive literature concerning uncertainty in PSD estimation [54] [55] and the details may depend upon how one chooses to process the measured data. For the present purpose, which is to elucidate rules of thumb for the choice of N and L, the differences between these do not concern us. For simplicity, Bartlett s method of measuring a trace with length some integer multiple of 1/f min is chosen. This trace has mn points that will then be subdivided into m traces, each consisting of N points over the length 1/f min. The PSDs of these subdivided traces are summed. (An alternative is to smooth the PSD of the undivided trace. Different choices of windowing functions for the Fourier transform, or overlapping the subdivided segments are other variants.) Therefore, 1 f L m f m is increased as required to improve the uncertainty. The PSDs of the subdivided profile then each satisfy an equation like Eq. [50]. Adding those m equations together yields min max m N 1 m N / 1 wki i1 k0 N i1 k0 P ki Eq. [53] Eq. [54] where i = 1,,, m indexes the subdivisions. Because of the choices in Eq. [5], P 1,i and P N/,i correspond (for all i) to the frequencies f min and f max that form the bounds of the frequency window to be measured. If the residuals are with respect to the average width (or best fit line for edges) for each segment individually, then P 0i will be identically equal to 0, so the sum over k includes exactly those roughness frequencies that are of interest. The left side is just m(n-1)r Wq so R Wq m 1 m ki N 1N N i1 N / k0 P 1 1 N N / k0 P k Eq. [55] In the second form on the right, 1/m times the sum over i has been replaced by the average of the m PSDs ( P k ).

139 The uncertainty in R q can be found straightforwardly if the uncertainties in the Ps are known. The uncertainty in X as a result of an uncertain parameter (p) U x = u p (dx/dp). When there are many parameters with uncorrelated errors the uncertainties are added in quadrature. Applying this to Eq. [54] produces N / u P 13 1 u Rqur Eq. [56] Rq q k N 1 N k0 The variance of each point in a single unaveraged periodogram is approximately the square of the spectrum [54] (i.e., u Pki P ki ). By averaging m spectra, the uncertainty is reduced by a factor of m. Replacing u P k with P k / m in Eq. [50], bringing the (R q ) to the right, and dividing by an extra factor of R q produces / u N R q Pk Eq. [57] R N N q mrq k0 The reason for dividing by the extra factor of R q is that this now becomes an expression for the relative uncertainty, in which form the expression shortly becomes simple. Substituting for R q from Eq. [51], most of the leading coefficients cancel to produce N / u k R q k0 / Eq. [58] R N q 4m Pk k0 The leading factor of 1/m means that if m is large enough, the measurement s precision requirement can be satisfied. That requirement in the following form: P ur q Eq. [59] R q For example, the ITRS requires that u Rq be 0% of the roughness tolerance for a given node. It seems reasonable therefore to set to 0.. Then when the roughness is at the tolerance, the statistical uncertainty also is, and the statistical uncertainty becomes better as the roughness gets smaller. It is instructive to look at some special cases. Often the PSD approximately follows a power law z ( P k A / k [for k > 0 and all i]) over a frequency range of interest. If the power law is substituted into Eq. [58], the requirement for m becomes N, z m 4 with N / k1 N, z N / k1 1 z k 1 z k Eq. [60]

140 14 The ratio of sums ((N,z)) for a given N and z is a dimensionless number 1. Its behavior for various values of N and z is illustrated in Figure 35. It is desirable that the ratio be as small as possible, since this makes for a smaller value of m (i.e., the length of line to be measured is fewer multiples of the basic length). Table 16 shows approximate limiting values for several values of z and the corresponding value of m when = 0.. For z > 1, the ratio never approaches 0. It approaches (quickly for z large, less so as z approaches 1) a nonzero limit as N increases. In the large N limit the sums become Riemann Zeta functions (see Eq. 9.5 in ref. [56]). For z = 1 (a 1/f spectrum), the ratio approaches 0, but slowly (as C/ln(N), C a constant, see ref. [56] Eq ) For a flat, or white noise spectrum, corresponding to z = 0), (0) = /N. Many of the resist edges measured for this study have z =.5 or so for a substantial range of frequencies (see Figure 35). Rounding up the value in Table 16, this means m = 4. According to Table 15, at the 90 nm node the highest and lowest frequencies of interest are 1/(15 nm) (i.e., the reciprocal of the low-end-of-range drain extension) and 1/(180 nm) (i.e., 1/DRAM pitch). Using these values in Eq. [64] results in the following measurement specification: a length of line at least L = 70 nm must be measured at intervals of = 7.5 nm (i.e., 96 measurement positions) for uncertainty associated with sampling to be within the desired tolerance. This length of line is eight times the node length. The way to make sense of the requirement for long distance measurements is this: Closely spaced samples provide information about high frequency components of roughness. However, when the PSD is decreasing sufficiently quickly, the rms roughness is dominated by only a few low frequency components that have high relative amplitudes. The extra knowledge provided by the closely spaced points does not improve the estimate of these. The only way to improve the estimate for these relevant components is to measure long distances to sample many wavelengths. This section has outlined the means to satisfy the requirements for adequately sampling the roughness. However, the effect of noise on the roughness is an additional complication that still must be addressed. Table 16 Properties of (z) and Their Implications z Limiting value of (z) Corresponding Value of m for =

141 z = z = z =.0 0. z = z = 1.0 z = N Figure 35 Values of the Ratio of Sums in Eq. [60] for Various z and N Effect of Noise on Roughness Determination Determination of edge positions or linewidths requires use of an edge assignment algorithm. If there are random errors (noise) in the image, there will be a resulting random error in the edge assignments and linewidths, more or less error depending upon the sensitivity of the chosen algorithm to noise. Let us say the width determination error that results from a particular set of conditions to be i and that these errors are distributed with a standard deviation (Figure 36). Distribution of Measured Edge locations Real edge One possible measured edge Linescan 3.. Linescan N Linescan Linescan 1 Note: Schematic for problem of considering how noise effects the apparent measured position of a real line edge. The thick meandering line represents the real edge. The slimmer meandering line represents one measured edge out of infinite possibilities. The Gaussian curves overlaid on each linescan represent the distribution of measured edge locations. Figure 36 Influence of Edge Detection Noise on Roughness Measurement

142 16 What is the effect on the roughness determination, for example using Eq. [44] Add the sum of squares of the measured widths instead of the actual widths and obtain a measured roughness given by N 1 N 1R W W Wq _ meas i0 N 1 wi i The right side decomposes into three terms when expanded. The sum of the w i becomes (N 1)R Wq by definition. The sum of i becomes (N 1), also by definition. The expectation value of the cross term vanishes because the noise and the roughness are uncorrelated. Thus, the simple result: q _ meas i0 i q i Eq. [61] R R Eq. [6] where < > denotes the expectation value. The W in the subscript has been left out because the same result can be shown to apply for the case of edge roughness (Eq. [41]) provided is understood to be the standard deviation of the distribution of edge errors instead of width errors. This result means random errors in the edge roughness bias the measurement they add root sum of squares fashion with the true roughness to bias the measured result. If the are known (for example, by estimation from repeated measurements of the same sample, or by estimation of the noise floor in the PSD), can be subtracted from the measured square of the roughness to obtain a corrected estimate of the actual squared roughness. Such correction is reasonable when the edge assignment repeatability is smaller than or comparable to the roughness. However, when it becomes much larger than the roughness, discerning the roughness above the noise background is likely to become increasingly difficult. Noise also affects the repeatability of the roughness measurement. Since R Wq is essentially a standard deviation, its repeatability is the standard deviation of a standard deviation. The algebra is therefore a bit more involved than usual 16, but assuming is small compared to the roughness, the result is R Wq Eq. [63] _ meas N 1 An expression for the case when is comparable to or greater than R q has not been derived. However, the following relationship matches simulation results for the more general case, and it agrees with Eq. [63] in the appropriate limit: R Wq R 1 Eq. [64] Wq _ meas N 1 R Wq 16 The algebra is omitted for space reasons, but is available upon request from the authors.

143 17 The behavior of Eq. [6] Eq. [64] is illustrated in Figure 37. Rqmeas [nm] Rqmeas vs N [Rqreal=10, noise=1] N Note: Eq. [6] Eq. [64], as functions of the number of linescans for a LWR measurement with noise equal to 10% of R Wq. The central line is the expected value for infinitely many repeated measurements. Note that it is biased with respect to the true value (10 in this example). The spread between the outer lines represents the expected scatter (±1 standard deviation) for a single LWR measurement. Figure 37 Example of Bias and Uncertainty Some CD-SEMs allow for binning (i.e., measuring an edge s position at the site of N bins with results from n linescans averaged together). This has the effect of reducing ε as n, with the penalty of less spatial frequency sensitivity. With the repeatability of the measurement of R Wq known in the limit of ε << R Wq, the ramifications to meeting the ITRS LWR measurement precision specifications are calculated below. Table 17 shows the minimum number of linescans necessary to meet ITRS LWR measurement precision specifications, for different values of σ ε. The effect of noise on roughness metrics is different than on many other more familiar measurements. For example, measuring CDs means measuring average positions of edges. In a CD-SEM, this involves performing several linescans to collect an image. Linescans may be binned to improve signal to noise, or the CDs of many linescans may be averaged. Positive excursions from the average value cancel negative excursions to first order, thereby diluting the effect of outliers. Roughness, on the other hand, is a dispersion. Both positive and negative excursions from the mean position of the edge add to the total roughness. Since noise always adds to the apparent roughness, the average of N repeated measurements does not tend to the correct value as N goes to infinity. This places a premium on reducing random errors in edge positions or linewidths before the determination of roughness begins. Some of the strategies for

144 18 noise reduction employed with other measurements have a steeper cost when measuring roughness. For example, binning of linescans reduces the effective spatial resolution along the edge, thereby impairing measurement of high spatial frequency components of roughness. Table 17 ITRS LWR Measurement Precision Specifications Technology Node 130 nm 115 nm 100 nm 90 nm 65 nm 45 nm 3 nm nm 18 nm Year of Production LWR Control, < 8% of etched gate (nm) LWR Control, < 8% of etched gate (nm) Precision of LWR measurement (nm) Precision of LER measurement (nm) Linescan Reproducibility, (nm) Minimum # of linescans to meet ITRS Precision Specification Experimental Instruments/Samples/Measurements in General The measured features consisted of either resist on poly-si, or etched 1500 Å poly-si on 0 Å gate oxide. The resist used was 700 Å Sumitomo PAR nm (ArF) resist 17. Structures were exposed using the SEMATECH AMAG-4L reticle. The targets imaged were isolated and dense lines in scatterometry patterns of 150 nm linewidth with 450 nm pitch for the dense case, and 1500 nm pitch for the isolated case. Also, special test structures with induced roughness at designed wavelengths of 50 nm, 00 nm, and 50 nm [57] were imaged. All data in this work were collected in the form of tiff images of features for offline analysis. The CD-SEM used was a recent model CD-SEM in the ATDF ( fab). As the resolution of the CD-SEM is on the order of.5 nm, the measurement technique was designed to sample 1 nm pixels to assure proper Nyquist sampling of all observable roughness features. Thus, each image scanned a 1000 nm 1000 nm region, with 960 pixels in the x-direction and 104 pixels in the y-direction. All filtering was turned off to acquire the raw signal in the imaging. The averaging factor was set to 1 linescan per bin. Slightly different conditions were used for etched poly than for resist. For etched poly imaging, the accelerating voltage was 800 V with 10 pa beam current and 3. µs beam dwell time per pixel. For resist imaging, the accelerating voltage was 500 V with 10 pa beam current and.3 µs 17 Certain commercial products are identified in this report to describe the experimental and analytical procedures adequately. Such identification does not imply recommendation or endorsement by NIST or International SEMATECH, nor does it imply that the items identified are necessarily the best available for the purpose.

145 beam dwell time per pixel. One interesting point here is that the beam condition for measuring LER on resist was significantly different than the typical condition used to measure CDs, where 193 nm resist shrinkage must be minimized; a pixel dwell time of ~0.8 µs is much better for reducing shrinkage of this resist. However, as mentioned in previous work [57], the LER measurement is less prone to shrinkage/reproducibility issues by virtue of the fact that all features along the same sidewall shrink in the same direction (vs. the CD measurement case, where two edges shrink in opposite directions). From the acquired images, edges were located using offline software. Four edge detection algorithms were used: Maximum derivative (MAXD) based on a threshold algorithm. Regression-to-baseline (REGR) based on fitting the waveform peak edge with a line and intersecting with a baseline value. Sigmoidal fit (SIGM) based on fitting a sigmoidal mathematical function to the peak of the waveform. Model-Base Library fit (MBL) based on physics simulations. Note that the first three were designed to mimic those used in typical current-model CD-SEMs. All four edge algorithms have been described in a recent related work [58]. The edge algorithms were applied to each image along each linescan (no binning). The results files included edge locations of all edges in an image, PSDs of each edge and linewidth, and autocorrelation functions of each edge and linewidth. Images were acquired of many targets for a larger study, but the ones used in this work are poly and resist images of the following experiments: Repeatability Five images were taken (static mode) of the same segment of line, at optimal image quality, at the standard conditions described above. Image integration time variation Images were taken (static mode) of the same segment of line, at optimal image quality, with varying beam dwell time per pixel. Image focus variation Images were taken (static mode) of the same segment of line, at varying image quality due to intentional defocusing, at the standard conditions described above. Long line length Six 1000 nm 1000 nm images were taken in tiled fashion with 100 nm overlap; matching the images together allows for a ~5500 nm long image of lines to be analyzed, with 1 nm pixel size in both X- and Y-directions Repeatability and Image Integration Time Variation Using the principles described in the noise discussion above, the performance and optimal operating conditions of the edge detection algorithms can be evaluated. From the repeatability images, edge locations between consecutive runs were correlated to one another to compensate for possible image shift between images. Linescans were thus matched up, and a variance of the residuals of each linescan s edge location from the line of best fit for each edge was calculated. The square root of the average of these linescan variances yields the σ ε value, defined earlier as the 1 σ repeatability of edge location on a single linescan. With 104 linescans, the error of the measurement of this value is quite small (a few linescans were lost due to image shifting 19

146 130 between observations the calculation was done over the linescans which each image had in common). This was executed for each of the four edge detection algorithms for the standard repeatability condition. Also, from Eq. [6], if the actual R q can be assumed to be unchanged between two images, the relative values of σ ε between the two images can be calculated with the two values of R q_meas. This was done through the series of images with varying image integration time and focus. Since the absolute value of σ ε was found for the repeatability condition, the relative value for σ ε for each of the other images can be thus referenced back to the value at the repeatability condition, and thus made absolute, also. Results for computed values of σ ε are shown in Figure 38. Also, relative noise was measured on the different images through image integration time, using the Measure software package by Spectel Research [76]. The noise decreases as ~t -1/, as should be expected. To verify that the assumption of ~constant R q is valid, an extra image at the nominal condition was taken at end of the integration time runs, and the measurement reproduced the one from the beginning of the runs. Notice that from the image on the left in Figure 38 that the sigmoidal and MBL edge algorithms demonstrate better performance, as these algorithms make more use of the information in the entire waveform. Thus, they are better suited to LER measurement (and, arguably, CD measurement as well, edge location bias issues aside, since better noise performance is desirable for the case of CD measurement also). Notice that does not go to 0 in the limit of large pixel time. At values higher than an optimum value (between 3 µs and 4 µs in this case) it begins to trend slowly upwards again, perhaps due to vibration, charging, or contamination. Notice that in experience with these samples, 3 is already close to 3 nm, which is the roughness tolerance for the 90 nm technology node. This means the roughness background produced by noise is already comparable to the roughness that must be measured Defocus Variation This analysis was repeated for the set of images of varying defocus in Figure 39. Noise in the intensity values (graph on the right) remains relatively constant with defocus, while σ ε increases dramatically. This effect was seen in simulations a year ago [58], where it was attributed to smearing of the edge (edge detection is improved when edges are sharp because the contrast between the intensity gradient signal and false gradients due to noise is greatest then). Since defocus effectively increases the e-beam spot size, it also degrades the resolution. Thus, defocus has two effects on roughness measurement. On the one hand, it increases the sensitivity of the measurement to image noise, tending to increase the apparent (i.e., measured) roughness. On the other hand, loss of resolution causes high frequency roughness to be missed, tending to decrease the apparent roughness. Neither of these is good. Though their opposite signs mean that fortuitous cancellation of errors can occur, measurements in better focus measure more of the actual roughness and less of the noise Illustration of Sampling Statistics on Long Tiled Lines As an example of calculating uncertainty for a PSD, one of the long tiled lines has been investigated. The edges were located for the entire line, and these were segmented into smaller pieces. Let s assume that the frequency window of interest is 50 nm. The 4 µm long line is segmented into 16 of these 50 nm pieces. R Wq and the PSD are then calculated for each of the 16 segments. The standard deviation of these sixteen R Wq s is 0.74 nm. The standard deviation of the mean is therefore 0.74 nm/16 = nm.

147 From the PSD of each segment, u Rq is calculated using Eq. [58]. The value is nm. Thus there is good agreement between the predicted and actual observed standard deviation using multiple segments of the same line. Note that the rms average R Wq of the segments is.91 nm, while the R Wq of the 4 µm long (unsubdivided) line is 3.7nm. The reason for the difference is that the measurement on the long line includes some long wavelength roughness that is excluded from the measurement of the shorter segments. If the length and number of the segments is chosen as dictated by Eq. [5], these neglected longer wavelengths will be precisely those wavelengths that are being intentionally neglected because they are outside of the range of roughness that is relevant to the manufacturing process. From the PSD results on the right in Figure 40, it can also be seen that the average PSD of the multiple segments overlays the PSD of the long tiled line, as expected, only the frequency window of the function for the segments is a subset of the frequency window for the longer line. Also, the curve is smoother since it is averaged Summary and Conclusions There are several ways that LER and LWR can be quantified. Some of the available metrics are discussed in Section 15.. The various metrics capture different aspects of roughness, some, for example, emphasizing aspects of roughness amplitude while others measure characteristic roughness wavelengths or correlation lengths. It is not yet always known which of these measures captures those aspects of roughness that are most relevant to device performance. Indeed, different devices or different processes may require different metrics. It therefore seems advantageous for measuring tools to offer the manufacturing process designer flexibility in the form of a suite of metrics. CD-SEMs [10] used for monitoring production could then be programmed to use whichever metric or set of metrics had proven most useful during process development. Of course, with more than one metric it will be important to have consistent and standardized definitions for each one to avoid confusion. Root mean square (rms) measures of edge and linewidth roughness have been paid particular attention, because these are given prominence in the 003 ITRS. The repeatability of determining edge positions and adequate sampling are significant determinants of the quality of a rms roughness measurement. Edge position repeatability, what is called here σ ε, may be ascertained by repeated measurements of the same part of a line. Once the measurements are corrected for any drift that may have occurred between them, the average rms differences at each point on the line provide a measure of σ ε. This metric should be considered a basic building block of CD-SEM (or any scanning probe-based) metrology. The magnitude of σ ε is important because it adds in quadrature with the true rms roughness to produce the measured roughness. This means the measured roughness is always larger than the true value by an amount determined by σ ε (i.e., the measurement is biased by the noise term), unless σ ε is known or measured so a correction can be applied. This is unlike the case with most familiar measurements, in which noise is equally likely to cause negative errors as positive ones. In the sample measurements, this roughness background was already comparable to the roughness tolerance specified in the ITRS for the 90 nm technology node. Currently the only way to reduce this background is to average edge positions determined from repeated linescans at each measurement location. 131

148 13 [nm] vs Integration Time by Edge Algorithm, EP MAXD REGR maxd regr sigm MBL Integration Time [ s / pixel] noise [unitless] Noise as Function of Integration Time y = x R = Integration Time [s/pixel] t=0.4us t=3.us Note: Left: ε as function of image integration time for different edge algorithms on etched poly. Right: more time means less noise relative to signal. Note that 3. µs is the nominal value used for roughness measurement on etched poly (EP). Results for higher values of pixel time could be computed, but problems with the data collection prevented this. Note that the curves begin a slight upward trend at higher pixel times, where charging or vibrations can cause an edge blurring effect, which raises ε. At the nominal 3. µs pixel time, the order of the performance of the edge algorithms, in increasing ε, is MBL (best, at ε = ~0.8 nm) closely followed by sigmoidal, with regression and max derivative performing with higher ε. Figure 38 Variation of Integration Time and Edge Detection Algorithm on (nm) vs. Integration Time by Edge Algorithm LER maxd LER regr LER sigm Focus (µm) Noise (unitless) Noise vs. Focus Focus (µm) f=-1.um f=+0.um f=+1.um Note: Left: ε as function of image defocus for three edge algorithms on etched poly. At the nominal 0 µm focus, the order of the performance of the edge algorithms, in increasing ε, is sigmoidal (best, at ε = ~0.8 nm), with regression close behind and max derivative performing with higher ε. Also note that noise does not change measurably with focus. The noise graph is scaled the same as the noise vs. integration time results to demonstrate this. Figure 39 Variation of Image Resolution (by focus variation) and Edge Detection Algorithm on

149 133 CD of 4 µm long segment of line y location [nm] CD [nm] PSD PSD of 4 µm long line and 16X 50 nm segments 1.E+04 1.E+03 1.E+0 1.E+01 1.E+00 1.E-01 PSD, long line avg PSD, segments 1.E-0 1.E-03 1.E-04 1.E-03 1.E-0 1.E-01 1.E+00 f Note: Top: Tiled image of etched poly dense lines. Lower Left: CD of bottom line of tiled image; the bright lines show where this function was segmented into 16 smaller images. Bottom Right: PSD of entire 4 µm line (dark) and average PSD of sixteen 50 nm segments (yellow). Figure 40 Long Image (with many linescans) Leads to Lower Uncertainty in PSD Factors that must be optimized to improve edge detection repeatability include the edge detection algorithm, image resolution, and noise. Noise is a function of integration time, electron dose (charge per unit area, which is current time/irradiated area), and sample charging. Resolution is a function of the interaction of the beam with the sample, the beam control settings, such as focus and stigmation, and other tool-related variables such as stage vibration. Selection of a tool with better resolution and control over filtering are thus important. In illustrative measurements of resist lines performed for this study, the best value of σ ε was not much better than 1 nm for single unbinned linescans. This repeatability level dictates that roughness measurements be based upon a minimum of ~50 linescans to meet the precision requirements of the roadmap for the current node. The number of linescans needed will increase with each succeeding node, unless the edge detection repeatability improves proportionally. ITRS specifications imply the need to measure root mean square roughness between specified frequency limits with a specified repeatability. Roughness is a random phenomenon. For a particular sampled length of line to be adequately representative of other, unmeasured lengths, the sampled length must be long enough to be representative. Expressions for the length of line have been derived that should be measured and the sampling distance along that line to meet the ITRS requirements (or any other desired spatial frequency window and repeatability). The solution indicates that for edges with power spectra that slope downward more steeply than 1/f the roughness is determined mainly by low spatial frequency components. Reducing the uncertainty of these requires long lengths of line to be sampled. With lines with roughness like those in the test samples, lengths equal to 8X the technology node are required. The number of needed measurement positions within this length of line is close to 100. It may be desirable for future versions of the ITRS to take note of this requirement, as well as the need for better edge detection repeatability.

150 LER Background: Relationship Between R Wq and R Eq Consider the two edges of a long segment of untapered line. At any position along the line, the edges have positions x 1 and x, respectively. Over the line segment being considered, the edges have lines of least square fit of f 1 and f respectively. An untapered line is defined as the left and right edges are parallel to each other on average, so that for the long segment under consideration the fits have approximately equal slope. Because the large N limit has been considered, differences between N, N-1, and N- may be ignored. In this approximation the LER of each edge is R Eq x f x f REq Eq. [65] N N All sums are over all sampled positions of each edge (i.e., at each scan line). Also note the statistical definition of the linear correlation coefficient (c): x1 f1x f x f x f c Eq. [66] 1 The CD at any position along the line is x 1 x and R Wq is the standard deviation of the CD. Again, using the definition of the standard deviation, the R Wq can be expressed as: R Wq 1 x x x x x f x f x f x f x f x f 1 1 N 1 N 1 N N 1 N Eq. [67] In the first line, x1 x x1 x is the average CD. In the second form, the fact that for parallel edges the average CD is also the difference between the linear fits to the separate edges is used. In the final form, the first two terms are, by identity, R Wq1 and R Eq and. The third term can be strategically split: R Wq R Eq1 R Eq x1 f1x f x f x f x f x f Eq. [68] N Resubstituting the identities of c, R Eq1, and R Eq yields the desired result: R Wq 1 1 Eq1 REq creq 1REq R Eq. [69] 1 1

151 15.3 Framework for Roughness Measurement and Validation Process From the above work, the key findings are 1. To measure the roughness over a band of periodicities λ low to λ high, the sampling must be such that the spacing between linescans is Δ = λ low /, with enough linescans to cover m*λ high, where m is dictated by the typical power spectrum of the target.. Noise, which manifests itself into measurement as the reproducibility of edge location (σ ε ) is a key limiting factor to roughness measurement. It adds a bias to the measurement, and also dictates the reproducibility of the measurement. 3. Key factors in σ ε are edge detection algorithm, image integration time, image resolution, image filtering, charging, vibration, etc. 4. The values of ε found were approaching the same size as the roughness limits in the 003 ITRS. Thus users are in danger of getting faulty measurements that are dominated by noise. Other findings of note are as follows: 1. Most sample types have a typical power spectrum, with a low frequency plateau, a mid frequency roll-off, and a high frequency floor. This noise floor continues into a frequency range that is smaller than the resolution limit of the CD-SEM. One main concept about σ ε is that it is, in theory, white noise. Thus, the height of the noise floor is σ ε if confidence is high that there is no real roughness signal at these frequencies. This is enlightening as it allows σ ε to be found with one measurement. This quantity may be slightly different from the se from multiple observations since image drift, charging, resist shrinkage or contamination may not factor in as much as with the multiple measurements.. The ITRS frequency ranges are not necessarily what end users will want to use. Multiple sources [41] [59] [4] have found that the LWR measurement is not stable unless > µm of line segment is measured. This means that the PSD contains roughness out to µm. However, it may be process dependent as to which low frequency limit is of importance. For cases where the data is to be compared to other data from other sources of roughness measurement, such as reporting in the literature, measurement of a frequency window from the CD-SEM resolution limit out to µm is to be recommended. The recommended sampling setup is thus: Δ = nm (resolution limit at present), with >1000 linescans to measure over a µm segment of line. In using this sampling, the entire power spectrum of importance is measured. The calculation model to be used can then be the mean square model; the power spectrum is built in. Note that the values outlined in the SEMI specification for roughness measurement [70] are for a µm segment of line with 10 nm, consistent with these findings. With the sampling decided, the user should optimize for minimum (can minimize the noise floor of the PSD or the reproducibility of the LWR measurement as a simplified substitute) by varying the following parameters: Beam accelerating voltage Beam current 135

152 136 Beam exposure time Size of pixels perpendicular to line Choice of edge detection algorithm and parameters Choice of image filtering Once the optimum conditions are found, σ ε should be verified over a large sample set (5 measurements). The σ ε then serves as the error bar of the measurement. All of the measurement parameters must then be locked for the application consistent use is important. The value of σ ε and the other parameters listed above, along with tool type, should be reported with resulting data sets. Work is being done at present to determine is good knowledge of σ ε can allow for subtraction of the noise. Other recommendations are as follows: 1. Noise is not nearly as important a factor in linewidth measurements as it is with roughness measurement. Thus dose for optimal roughness measurement will probably need to be substantially higher than with CD measurement, on a given tool. Maximizing image quality and SNR are the goals.. Resist shrinkage has been observed to be a purely secondary effect in the realm of roughness measurement [57]. The basic reason that shrinkage is an issue for precision of linewidth measurements is because two edges shrink towards one another, whereas in roughness, edge features will shrink together in the same direction. Thus the change in roughness will either be due to induced roughness from uneven shrinkage along the line or from smaller features along the edge shrinking faster than larger features (smaller features have more surface area per unit volume). However, in setting up a measurement recipe to measure resist roughness, the user should measure a sample several times and verify that the power spectrum is stable Precision of LER/LWR Measurement Precision of the LER measurement should be calculated with three 5-site runs measuring FEMs. Section suggests measuring static repeatability to check for linewidth changes due to repeated exposure (i.e., hammer test). This is also of interest for LER and should be measured on five sites (see Section ). All images should be saved in *.tif format. The new metric for linescan edge detection repeatability (σ ε ) is also an important metric for measurement Accuracy of LER/LWR Measurement Mandel correlation of LER/LWR measurements could be performed against reference measurements (see Section 4.1.). An AFM usually makes reference measurements, but a high quality SEM (with offline software) could measure the LER from the same segment of line measured in the tool. Recent results have found that a high voltage SEM might have superior resolution of edge roughness. If using an AFM, differences in the probe size must be accounted for, as an AFM tip is larger than the resolution of the CD-SEM s e-beam. Also, care must be taken to not damage (with the AFM tip) any resist lines. These AFM measurements must be performed before SEM measurement, as it is not known if small, barely perceptible indentations

153 may be left on the resist lines. These issues should not be important if dealing with poly line structures. The reference measurement tool must measure the same segment of line and cover the same spatial frequency window (i.e., the distance between scans needs to be the same as with the CD-SEM). If measuring from an image, offline software can be used. The self-consistency of the LER/LWR measurement of a given CD-SEM can be checked by correlating the roughness measurements against measurements from the same images from each measurement (i.e., off the same tool that performed the measurement, same wafer, same sites, line segments, etc). This exercise ensures that the edge position or linewidth value for each sampling point along the line tracks the actual line edge. Standards that might be useful would be samples with large, periodic (sine wave) roughness [57], and a sample with zero roughness [0]. Between these two samples, scaling of the measurement could be tested. Also, if a linewidth sample with suitably straight edges can be used (i.e., with ~0 nm LER), measuring their LER under a CD-SEM should be performed. If the SEM measurement is significantly higher, this would suggest that noise causes significant variation between individual linescans in the measurement, thus causing a random, positively biased increase to LER values. As a concluding comment, the most important key aspect for accuracy to the roughness measurement is the noise bias term ε (Section 15..4). Removal of this is key to a true nonbiased estimation of roughness. A recent publication on this topic is available [63]. A good method for such noise removal is as follows [13]: 1. Decide the total electron dose (dwell time beam current # frames) to be used.. Take two images, each at half of this dose (or in general, M images at 1/M of the dose). 3. Instead of measuring widths W i, measure W i1 from image 1 and W i from image. 4. Determine R meas (the usual biased metric) for image 1 and image. Call these R meas1 and R meas. Average them. 5. Estimate ε as: 6. Determine the roughness as N W i 1 W N i 0 Eq. [70] 1 i Rmeas 1 Rmeas R real Eq. [71] In the proof-of-concept experiment, LWR was measured at various doses, thus with various levels of noise and ε. Results for both the raw and corrected LWR are shown in Figure 41.

154 138 Figure 41 Proof-of-Performance of Noise Bias Removal Method for Roughness Measurement Sampling Error in Roughness Measurement Through knowledge of the variation of the roughness measurement across a sample and characterization of the uncertainty in the measurement, the average roughness of a sample can be determined to a confidence limit using simple statistical methods. The equation for determining the number of samples (N) to achieve a certain amount of error (E) to a confidence value 1- on a sample of known 1 variation POP with a tool of 1 measurement error SEM is N z POP SEM E 1 / Eq. [7] If the desired confidence level is 90%, the error in the estimation of the mean roughness is E POP SEM 1. Eq. [73] N 90% 64 For 95% confidence, the coefficient becomes When collecting large amounts of data (11500 different lines within a process window), it was recently observed on a typical ArF resist process with 3 LWR of 5 nm, that the 1 variation in the roughness was consistently ~11% of the size of the roughness for a given site, with a consistent normal distribution. With these typical levels of variation, 5 measurements are necessary for a good estimation of the mean to 0.1 nm error levels needed for, say, a resist evaluation. To meet ITRS specifications for process control, at least five measurements are needed. A histogram of this large data set is shown in Figure 4.

155 139 Note: The distribution of roughnesses varied relative to the size of the roughness of each die in a large FEM process window, but did so in a normal fashion. Figure 4 Variation of Roughness Over a Large Data Set 16 SENSITIVITY TO PROCESS CHANGE Modern CD-SEMs all have some special algorithms that give some FOMs for rating certain nonmetric process conditions based on shapes of waveforms. Examples of this might be grading systems to check for the open or closed condition of trenches or contact holes, profile shape, footing, top corner rounding, etc. To test the success of these, an appropriate process window (FEM) should be constructed such that the process issue to be flagged can be found at the edges of the process window. Background metrology and/or inspections must be completed to catalog which die are acceptable and which are not. The tool to be tested then can apply the flagging algorithm to all of the die bordering the transition in the FEM to the defects. A success rate can then be calculated. Images from the reference tool and from the TuT should be recorded. 17 ITRS PRECISION/UNCERTAINTY SPECIFICATION DISCUSSION The conventional interpretation of the ITRS precision as used in the ITRS versions through 006 was purely single tool 3 reproducibility, which is the definition followed earlier in this document. After closer examination of the supporting text by the AMAG and much discussion, however, the prevailing thinking was that precision is probably best thought of in broader terms as uncertainty, (i.e., the error in measurement, colloquially known as the error bar ). The ITRS states in the table that All precision values are 3 in nm and include metrology toolto-tool matching so that multiple tool effects are also supposed to be considered, and Measurement tool performance needs to be independent of target shape, material, and density

156 140 which alludes to accuracy. Indeed the original ITRS table 117 also has a row of requirements for maximum CD measurement bias of the measurement. Thus, the uncertainty can be understood as the sum of several different components. These components may be of varying importance depending on the user and the application. These components include the following: Single tool random error (precision/repeatability/reproducibility as in Section 3.1) Single tool systematic error (inaccuracy, or TMU as in Section 4.1.3) Multiple tool random and/or systematic error (tool-to-tool matching, as in Section 6) The ITRS basically agrees with this viewpoint: It would be ideal to have all metrology tools properly characterized for measurement uncertainty including a breakout of the leading contributors to this uncertainty. It is recommended to use internationally accepted methods to state measurement uncertainty. This knowledge would help to make the most of all metrology tools, and it would prevent situations in which the measured results do not provide the required information. Finally, once the largest contributors to measurement errors are known, a faster development of better instruments could take place. It is now recommended to state the measurement uncertainty of various dimensional metrology tools according to internationally accepted methods and to identify (quantify) the leading contributors. The ITRS also states Critical dimension measurement capability does not meet precision requirements that comprehend measurement variation from individual tool reproducibility, toolto-tool matching and sample-to-sample measurement bias variation. Precision is defined by SEMI as a multiple of reproducibility. As indicated in the introduction, reproducibility includes repeatability, variation from reloading the wafer, and long-term drift. In practice, reproducibility is determined by repeated measurements on the same sample and target over an extended period of time. Although the precision requirements for CD measurement in the ITRS have always included the effects of line shape and materials variation, repeated measurements on the same sample would never detect measurement uncertainty related to sample-to-sample bias variation. Therefore, with the current methodology the uncertainty of measurement associated with variation of line shape, material, layout, or any other parameter will not be included in the precision. Typically, reference materials for CD process control are specially selected optimum or golden wafers from each process level. Thus, industry practice is to determine measurement precision as a reproducibility of the measurement for each process level. The measurement bias is not detected. This approach misses measurement bias variation component of measurement uncertainty. In light of this, a new metric, total measurement uncertainty (TMU), has been proposed. The components of total uncertainty need to be properly assessed for e metrology tool. This would allow meaningful comparisons and improved tool matching. Total measurement variation defines a new precision-like variable P(TMU). P(TMU) would be determined using a technology representative set of samples that accounts for variations in measurement bias associated with each process level. One way to reduce TMU is to correct CD measurement bias at each process level. The intent of the ITRS is to provide for all of the many different metrology needs for many different applications, as highlighted in Metrology is defined as the science of measurement. For the purposes of the ITRS, we define it as the measurements made to research, develop, and manufacture integrated circuits, including measurements made on materials used for integrated circuits and their packaging. Thus, in terms of manufacturing and some lithographic

157 applications, the AMAG believes there is indeed the possibility of another type of error error due to inadequate sampling. Advanced process control (APC) feedback loops require that the input data be a statistically valid representation of the process mean. Measurement of individual features may thus not be enough to estimate this average value to good certainty. Also, for some applications, not only is the process mean of great importance, but knowledge of the process variance is also necessary. The errors in these quantities could thus be considered as the errors mentioned in the ITRS Sampling Error Further Explored In terms of variability, no sample is truly perfect in the nanoscopic realm. In any real process, feature sizes and profiles vary randomly due to uncontrollable microscopic variations from many sources. There are many sources of these perturbations, more micro-variables than can be tracked and controlled, thus by the central limit theorem, the random distribution usually converges onto a normal distribution, an average value with a variance. Consider the following: An infinite line/space grating with the typical property that the various lines are of CD of a normal distribution (e.g., an average value of 40 nm with a 1 sec variation of 1 nm; assume there is no systematic variability of the grating as would occur if the CD were changing with a gradient) is sampled many times in different places with different tools that have the same precision value like a CD-SEM or an OCD/scatterometer (e.g., each may have a precision of 1 nm). Due to actual, real variation in the sample, the SEM measurements will vary much more than the scatterometer s measurement. Why is this so? The answer is a difference in sampling. Each tool has the same precision and thus measures its measurand to the same error. Each tool is then as correct as the other, but the extent of measurement of each tool is different the SEM measures a small segment of line, and the scatterometer measures the average CD over a large laser spot; i.e., the tools have different probe sizes. Thus, these tools detect different scales of variation (see Figure 43). 141 a) CD-SEM b) OCD Figure 43 Visualization of the Sampling Thought Experiment Now, take one of the lessons from roughness measurement in Section 15, and consider the power spectrum of roughness. This can be globalized into a more extensive continuum of variation if the line-to-line variation (linewidth variation) is considered as simply longer wavelength roughness. In dealing with power spectrums, the observed roughness is related to the PSD curve integrated over the observable region. The CD-SEM and scatterometers observe different regions

Measuring Line Edge Roughness: Fluctuations in Uncertainty

Measuring Line Edge Roughness: Fluctuations in Uncertainty Tutor6.doc: Version 5/6/08 T h e L i t h o g r a p h y E x p e r t (August 008) Measuring Line Edge Roughness: Fluctuations in Uncertainty Line edge roughness () is the deviation of a feature edge (as

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication Total Management from Rule Definition to Silicon Fabrication Soichi Inoue, Toshiya Kotani, Shigeki Nojima, Satoshi Tanaka, Kohji Hashimoto, and Ichiro Mori & Manufacturing Engineering Center, Toshiba Corporation,

More information

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization New 3-Dimensional AFM for CD Measurement and Sidewall Characterization ASTRACT Yueming Hua *, Cynthia uenviaje-coggins Park Systems Inc. 34 Olcott St. Santa Clara, CA 9554, USA Yong-ha Lee, Jung-min Lee,

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

PROVE, the next generation registration metrology tool, status report

PROVE, the next generation registration metrology tool, status report PROVE, the next generation registration metrology tool, status report Dirk Beyer a, Patricia Gabella b, Greg Hughes b, Gerd Klose c, Norbert Rosenkranz a a Carl Zeiss SMS GmbH (Germany) Carl-Zeiss-Promenade

More information

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system Measurement results on after etch resist coated features on the new Leica Microsystems LWM27 DUV critical dimension metrology system John Whittey Leica Microsystems Inc. 1761 Dixon Road, Oakdale, CA 95361

More information

Application Note #503 Comparing 3D Optical Microscopy Techniques for Metrology Applications

Application Note #503 Comparing 3D Optical Microscopy Techniques for Metrology Applications Screw thread image generated by WLI Steep PSS angles WLI color imaging Application Note #503 Comparing 3D Optical Microscopy Techniques for Metrology Applications 3D optical microscopy is a mainstay metrology

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

Atomic Force Microscopy Observation and Characterization of a CD Stamper, Lycopodium Spores, and Step-Height Standard Diffraction Grating

Atomic Force Microscopy Observation and Characterization of a CD Stamper, Lycopodium Spores, and Step-Height Standard Diffraction Grating Atomic Force Microscopy Observation and Characterization of a CD Stamper, Lycopodium Spores, and Step-Height Standard Diffraction Grating Michael McMearty and Frit Miot Special Thanks to Brendan Cross

More information

Using the Normalized Image Log-Slope, part 3

Using the Normalized Image Log-Slope, part 3 T h e L i t h o g r a p h y E x p e r t (Summer 2001) Using the Normalized Image Log-Slope, part 3 Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in parts 1 and 2

More information

Calibration of AFM with virtual standards; robust, versatile and accurate. Richard Koops VSL Dutch Metrology Institute Delft

Calibration of AFM with virtual standards; robust, versatile and accurate. Richard Koops VSL Dutch Metrology Institute Delft Calibration of AFM with virtual standards; robust, versatile and accurate Richard Koops VSL Dutch Metrology Institute Delft 19-11-2015 VSL Dutch Metrology Institute VSL is the national metrology institute

More information

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson What s New in Lithography? Wafer dimensions are still accelerating downward towards ever smaller features

More information

Reflectance Measurements of Materials Used in the Solar Industry. Selecting the Appropriate Accessories for UV/Vis/NIR Measurements.

Reflectance Measurements of Materials Used in the Solar Industry. Selecting the Appropriate Accessories for UV/Vis/NIR Measurements. T e c h n i c a l N o t e Reflectance Measurements of Materials Used in the Solar Industry UV/Vis/NIR Author: Dr. Jeffrey L. Taylor PerkinElmer, Inc. 710 Bridgeport Avenue Shelton, CT 06484 USA Selecting

More information

VECTORAL IMAGING THE NEW DIRECTION IN AUTOMATED OPTICAL INSPECTION

VECTORAL IMAGING THE NEW DIRECTION IN AUTOMATED OPTICAL INSPECTION VECTORAL IMAGING THE NEW DIRECTION IN AUTOMATED OPTICAL INSPECTION Mark J. Norris Vision Inspection Technology, LLC Haverhill, MA mnorris@vitechnology.com ABSTRACT Traditional methods of identifying and

More information

Masters for micro- and nanostructure replication by

Masters for micro- and nanostructure replication by Masters for micro- and nanostructure replication by Diplomvej 381 DK-2800 Kongens Lyngby Denmark www.nilt.com CVR: DK 29310203 Contact: Phone: +45 3111 1797 Email: contact@nilt.com Background More than

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

How To Increase Areal Density For A Year

How To Increase Areal Density For A Year R. Fontana¹, G. Decad¹, S. Hetzler² ¹IBM Systems Technology Group, ²IBM Research Division 20 September 2012 Technology Roadmap Comparisons for TAPE, HDD, and NAND Flash: Implications for Data Storage Applications

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

3D TOPOGRAPHY & IMAGE OVERLAY OF PRINTED CIRCUIT BOARD ASSEMBLY

3D TOPOGRAPHY & IMAGE OVERLAY OF PRINTED CIRCUIT BOARD ASSEMBLY 3D TOPOGRAPHY & IMAGE OVERLAY OF PRINTED CIRCUIT BOARD ASSEMBLY Prepared by Duanjie Li, PhD & Andrea Novitsky 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard

More information

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b a Cymer Inc. 77 Thornmint Ct., San Diego, CA 97 USA

More information

Physics 441/2: Transmission Electron Microscope

Physics 441/2: Transmission Electron Microscope Physics 441/2: Transmission Electron Microscope Introduction In this experiment we will explore the use of transmission electron microscopy (TEM) to take us into the world of ultrasmall structures. This

More information

Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration

Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration Andrew C. Rudack 3D Interconnect Metrology and Standards SEMATECH Albany, NY andy.rudack@sematech.org

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

To measure an object length, note the number of divisions spanned by the object then multiply by the conversion factor for the magnification used.

To measure an object length, note the number of divisions spanned by the object then multiply by the conversion factor for the magnification used. STAGE MICROMETERS Introduction Whenever there is a need to make measurements with an eyepiece graticule, there is also a need to ensure that the microscope is calibrated. The use of a stage micrometer

More information

Process Improvements for Ultra-Thick Photoresist Using a Broadband Stepper

Process Improvements for Ultra-Thick Photoresist Using a Broadband Stepper Process Improvements for Ultra-Thick Photoresist Using a Broadband Stepper Warren W. Flack, Ha-Ai Nguyen Ultratech Stepper, Inc. San Jose, CA 95134 Elliott Capsuto ShinEtsuMicroSi, Inc. San Jose, CA 95112

More information

Optimization of Photosensitive Polyimide Process for Cost Effective Packaging

Optimization of Photosensitive Polyimide Process for Cost Effective Packaging Optimization of Photosensitive Polyimide Process for Cost Effective Packaging Peter Cheang, Lorna Christensen, Corinne Reynaga Ultratech Stepper, Inc. San Jose, CA 95134 Recent developments in the use

More information

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society MACHINE VISION FOR SMARTPHONES Essential machine vision camera requirements to fulfill the needs of our society INTRODUCTION With changes in our society, there is an increased demand in stateof-the art

More information

Approaches for Implementation of Virtual Metrology and Predictive Maintenance into Existing Fab Systems

Approaches for Implementation of Virtual Metrology and Predictive Maintenance into Existing Fab Systems Workshop - Statistical methods applied in microelectronics 13. June 2011, Catholic University of Milan, Milan, Italy Approaches for Implementation of Virtual Metrology and Predictive Maintenance into Existing

More information

Injection moulding and modelling on a micro scale

Injection moulding and modelling on a micro scale Injection moulding and modelling on a micro scale Technology Update Injection moulding and welding of plastics 11 November 2014 Research Projects (National / European) Micro/Nano/Multimaterial Manufacturing

More information

How to calibrate an RTD or Platinum Resistance Thermometer (PRT)

How to calibrate an RTD or Platinum Resistance Thermometer (PRT) How to calibrate an RTD or Platinum Resistance Thermometer (PRT) Application Note Introduction There are two types of calibrations applicable to PRTs characterization and tolerance testing. The type of

More information

ALS Configuration Management Plan. Nuclear Safety Related

ALS Configuration Management Plan. Nuclear Safety Related Westinghouse Non-Proprietary Class 3 Advanced Logic System 6002-00002-NP, Rev. 10 Function Author Nuclear Safety Related July 2014 APPROVALS Name and Signature Anthony C. Pagano* Integrated Process Lead,

More information

To meet the requirements of demanding new

To meet the requirements of demanding new Optimising LED manufacturing LED manufacturers seek new methods to reduce manufacturing costs and improve productivity in an increasingly demanding market. Tom Pierson, Ranju Arya, Columbine Robinson of

More information

Utilization of AIMS Bossung plots to predict Qz height deviations from nominal

Utilization of AIMS Bossung plots to predict Qz height deviations from nominal Utilization of AIMS Bossung plots to predict Qz height deviations from nominal Anthony Garetto 1, Doug Uzzel 2, Krister Magnusson 1, Jon Morgan 2, Gilles Tabbone 1 1 Carl Zeiss SMS, Carl-Zeiss-Promenade

More information

Variability Control A Key Challenge and Opportunity for Driving Towards Manufacturing Excellence

Variability Control A Key Challenge and Opportunity for Driving Towards Manufacturing Excellence James Moyne, Ph.D. Applied Materials, Applied Global Services University of Michigan, Associate Research Scientist ITRS, Factory Integration (FI) Technical Working Group Chair moyne@umich.edu Variability

More information

Pipeline External Corrosion Analysis Using a 3D Laser Scanner

Pipeline External Corrosion Analysis Using a 3D Laser Scanner Pipeline Technology Conference 2013 Pipeline External Corrosion Analysis Using a 3D Laser Scanner Pierre-Hugues ALLARD, Charles MONY Creaform, www.creaform3d.com 5825 rue St-Georges, Lévis (QC), Canada,

More information

Hitachi U-4100 UV-vis-NIR spectrophotometer (341-F)

Hitachi U-4100 UV-vis-NIR spectrophotometer (341-F) Hitachi U-4100 UV-vis-NIR spectrophotometer (341-F) Please contact Dr. Amanda Young for training requests and assistance: 979-862-6845, amandayoung@tamu.edu Hardware Our spectrophotometer is made up of

More information

Using the Normalized Image Log-Slope

Using the Normalized Image Log-Slope T h e L i t h o g r a p h y E x p e r t (Winter 2001) Using the Normalized mage Log-Slope Chris A. Mack, FNLE Technologies, A Division of KLA-Tencor, Austin, Texas Projection imaging tools, such as scanners,

More information

Our Embedded Dream of the Invisible Future

Our Embedded Dream of the Invisible Future Our Embedded Dream of the Invisible Future Since the invention of semiconductor chips, the evolution of mankind s culture, society and lifestyle has accelerated at a pace never before experienced. Information

More information

EUV lithography NXE platform performance overview

EUV lithography NXE platform performance overview EUV lithography NXE platform performance overview Rudy Peeters 2014 SPIE Advanced Lithography, San Jose CA, 9048-54 Slide 2 Contents Roadmap NXE:3100 NXE:3300B Summary and acknowledgements ASML EUV technology

More information

FSI Machine Vision Training Programs

FSI Machine Vision Training Programs FSI Machine Vision Training Programs Table of Contents Introduction to Machine Vision (Course # MVC-101) Machine Vision and NeuroCheck overview (Seminar # MVC-102) Machine Vision, EyeVision and EyeSpector

More information

Figure 1. New Wafer Size Ramp Up as a Percentage of World Wide Silicon Area Versus Years Elapsed Since the New Size Was Introduced [1].

Figure 1. New Wafer Size Ramp Up as a Percentage of World Wide Silicon Area Versus Years Elapsed Since the New Size Was Introduced [1]. White Paper Forecasting the 45mm Ramp Up IC Knowledge LLC, PO Box 2, Georgetown, MA 1833 Tx: (978) 352 761, Fx: (978) 352 387, email: info@icknowledge.com Introduction The introduction and ramp up of 45mm

More information

SIGNAL GENERATORS and OSCILLOSCOPE CALIBRATION

SIGNAL GENERATORS and OSCILLOSCOPE CALIBRATION 1 SIGNAL GENERATORS and OSCILLOSCOPE CALIBRATION By Lannes S. Purnell FLUKE CORPORATION 2 This paper shows how standard signal generators can be used as leveled sine wave sources for calibrating oscilloscopes.

More information

The Optimization and Characterization of Ultra-Thick Photoresist Films

The Optimization and Characterization of Ultra-Thick Photoresist Films The Optimization and Characterization of Ultra-Thick Photoresist Films Warren W. Flack, Warren P. Fan, Sylvia White Ultratech Stepper, Inc. San Jose, CA 95134 There are an increasing number of advanced

More information

Making Better Medical Devices with Multisensor Metrology

Making Better Medical Devices with Multisensor Metrology Making Better Medical Devices with Multisensor Metrology by Nate J. Rose, Chief Applications Engineer, Optical Gaging Products (OGP) Multisensor metrology is becoming a preferred quality control technology

More information

Choosing a Stencil. By William E. Coleman, Ph.D. and Michael R. Burgess

Choosing a Stencil. By William E. Coleman, Ph.D. and Michael R. Burgess Choosing a Stencil Is a stencil a commodity or a precision tool? A commodity is something that can be purchased from many suppliers, with the expectation that the performance will be the same. A precision

More information

SINGLE-SUPPLY OPERATION OF OPERATIONAL AMPLIFIERS

SINGLE-SUPPLY OPERATION OF OPERATIONAL AMPLIFIERS SINGLE-SUPPLY OPERATION OF OPERATIONAL AMPLIFIERS One of the most common applications questions on operational amplifiers concerns operation from a single supply voltage. Can the model OPAxyz be operated

More information

Designing with High-Density BGA Packages for Altera Devices

Designing with High-Density BGA Packages for Altera Devices 2014.12.15 Designing with High-Density BGA Packages for Altera Devices AN-114 Subscribe As programmable logic devices (PLDs) increase in density and I/O pins, the demand for small packages and diverse

More information

Tanner EDA L-edit (Layout Editor)

Tanner EDA L-edit (Layout Editor) Tanner EDA L-edit (Layout Editor) Tanner Tools Speeding Concept to Silicon EDA= Electronic Design and Automation NOTE: This tutorial was constructed in L-edit version 1.15 (c. October 2007) http://www.tanner.com/eda/

More information

STANDARD OPERATING GUIDELINES: EVIDENTIAL BREATH ALCOHOL INSTRUMENT CALIBRATION

STANDARD OPERATING GUIDELINES: EVIDENTIAL BREATH ALCOHOL INSTRUMENT CALIBRATION Directive from the Scientific Director Page 1 of 16 STANDARD OPERATING GUIDELINES: EVIDENTIAL BREATH ALCOHOL INSTRUMENT CALIBRATION 1 Scope To describe the procedure for breath alcohol instrument calibration

More information

Advances in Thermal Dispersion Mass Flow Meter Accuracy

Advances in Thermal Dispersion Mass Flow Meter Accuracy Advances in Thermal Dispersion Mass Flow Meter Accuracy By Dan McQueen President Fluid Components International (FCI) Advances in Thermal Dispersion Mass Flow Meter Accuracy By Dan McQueen President Fluid

More information

Dual Side Lithography Measurement, Precision and Accuracy

Dual Side Lithography Measurement, Precision and Accuracy Dual Side Lithography Measurement, Precision and Accuracy Daniel Schurz, Warren W. Flack, Robert L. Hsieh Ultratech, Inc. San Jose, CA 95134 Advances in micromachining (MEMS) applications such as optical

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Metrology Standards for Semiconductor Manufacturing Yu Guan and Marco Tortonese VLSI Standards, Inc., 3087 North First Street, San Jose, CA 95134, USA

Metrology Standards for Semiconductor Manufacturing Yu Guan and Marco Tortonese VLSI Standards, Inc., 3087 North First Street, San Jose, CA 95134, USA Metrology Standards for Semiconductor Manufacturing Yu Guan and Marco Tortonese VLSI Standards, Inc., 3087 North First Street, San Jose, CA 95134, USA Abstract In semiconductor manufacturing, the performance

More information

Optical Methods of Surface Measurement

Optical Methods of Surface Measurement Optical Methods of Surface Measurement Ted Vorburger, Guest Researcher National Institute of Standards and Technology (NIST) Measurement Science and Standards in Forensic Firearms Analysis 2012 NIST, Gaithersburg,

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Lecture 30: Cleanroom design and contamination control

Lecture 30: Cleanroom design and contamination control Lecture 30: Cleanroom design and contamination control Contents 1 Introduction 1 2 Contaminant types 2 2.1 Particles.............................. 2 2.2 Metal ions............................. 4 2.3 Chemicals.............................

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

DualBeam Solutions for Electrical Nanoprobing

DualBeam Solutions for Electrical Nanoprobing DualBeam Solutions for Electrical Nanoprobing Richard J. Young, Technologist Peter D. Carleson, Product Marketing Engineer Electrical testing by physically probing device structures has grown more challenging

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Comparing Digital and Analogue X-ray Inspection for BGA, Flip Chip and CSP Analysis

Comparing Digital and Analogue X-ray Inspection for BGA, Flip Chip and CSP Analysis Comparing Digital and Analogue X-ray Inspection for BGA, Flip Chip and CSP Analysis David Bernard & Steve Ainsworth Dage Precision Industries Abstract Non-destructive testing during the manufacture of

More information

Welded Fabric. The CARES Guide to Reinforcing Steels Part 5. Installation of welded fabric on a major contract. 1.0 Introduction

Welded Fabric. The CARES Guide to Reinforcing Steels Part 5. Installation of welded fabric on a major contract. 1.0 Introduction Welded Fabric 1.0 Introduction Welded fabric, often referred to as mesh, is a machine welded grid arrangement of reinforcing bars or wires. It is covered by British Standard BS4483. This was revised in

More information

Grade 5 Math Content 1

Grade 5 Math Content 1 Grade 5 Math Content 1 Number and Operations: Whole Numbers Multiplication and Division In Grade 5, students consolidate their understanding of the computational strategies they use for multiplication.

More information

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i Layout and Cross-section of an inverter Lecture 5 A Layout Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London V DD Q p A V i V o URL: www.ee.ic.ac.uk/pcheung/

More information

Choosing a digital camera for your microscope John C. Russ, Materials Science and Engineering Dept., North Carolina State Univ.

Choosing a digital camera for your microscope John C. Russ, Materials Science and Engineering Dept., North Carolina State Univ. Choosing a digital camera for your microscope John C. Russ, Materials Science and Engineering Dept., North Carolina State Univ., Raleigh, NC One vital step is to choose a transfer lens matched to your

More information

Application of Automated Data Collection to Surface-Enhanced Raman Scattering (SERS)

Application of Automated Data Collection to Surface-Enhanced Raman Scattering (SERS) Application Note: 52020 Application of Automated Data Collection to Surface-Enhanced Raman Scattering (SERS) Timothy O. Deschaines, Ph.D., Thermo Fisher Scientific, Madison, WI, USA Key Words Array Automation

More information

DIGITAL DISPLACEMENT RISING STEP LOAD LRA/RSL TEST EQUIPMENT

DIGITAL DISPLACEMENT RISING STEP LOAD LRA/RSL TEST EQUIPMENT DIGITAL DISPLACEMENT RISING STEP LOAD LRA/RSL TEST EQUIPMENT BACKGROUND AND PRODUCT DESCRIPTIONS Fracture Diagnostics provides state-of-the-art test equipment, utilizing the Rising Step Load testing technique.

More information

Grammage of paper and paperboard (weight per unit area) (Revision of T 410 om-08) (underscores and strikeouts indicate changes from Draft 1)

Grammage of paper and paperboard (weight per unit area) (Revision of T 410 om-08) (underscores and strikeouts indicate changes from Draft 1) NOTICE: This is a DRAFT of a TAPPI Standard in ballot. Although available for public viewing, it is still under TAPPI s copyright and may not be reproduced or distributed without permission of TAPPI. This

More information

Scanning He + Ion Beam Microscopy and Metrology. David C Joy University of Tennessee, and Oak Ridge National Laboratory

Scanning He + Ion Beam Microscopy and Metrology. David C Joy University of Tennessee, and Oak Ridge National Laboratory Scanning He + Ion Beam Microscopy and Metrology David C Joy University of Tennessee, and Oak Ridge National Laboratory The CD-SEM For thirty years the CD-SEM has been the tool for metrology But now, as

More information

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com Introduction to Semiconductor Manufacturing Technology Chapter 1, Introduction Hong Xiao, Ph. D. hxiao89@hotmail.com Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objective After taking this

More information

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Janet E. Semmens Sonoscan, Inc. 2149 E. Pratt Boulevard Elk Grove Village, IL 60007 USA Phone: (847)

More information

Nikon Mini Steppers. For MEMS, LEDs, and More. Nikon Mini Steppers

Nikon Mini Steppers. For MEMS, LEDs, and More. Nikon Mini Steppers Nikon Mini Steppers For MEMS, LEDs, and More Nikon Mini Steppers Nikon Mini Steppers For MEMS, LEDs, and More Background Nikon Engineering Co. Ltd. released the first NES PrA Mini Stepper lithography systems

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

Fast Z-stacking 3D Microscopy Extended Depth of Field Autofocus Z Depth Measurement 3D Surface Analysis

Fast Z-stacking 3D Microscopy Extended Depth of Field Autofocus Z Depth Measurement 3D Surface Analysis Cam CANIMPEX CPX-SOLUTIONS 3D Digital Microscope Camera FAST PRECISE AFFORDABLE 3D CAMERA FOR MICROSCOPY Fast Z-stacking 3D Microscopy Extended Depth of Field Autofocus Z Depth Measurement 3D Surface Analysis

More information

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation 1 Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation Vivek Joshi, Kanak Agarwal*, Dennis Sylvester, David Blaauw Electrical Engineering & Computer Science University of Michigan,

More information

Scanning Surface Inspection System with Defect-review SEM and Analysis System Solutions

Scanning Surface Inspection System with Defect-review SEM and Analysis System Solutions Scanning Surface Inspection System with -review SEM and Analysis System Solutions 78 Scanning Surface Inspection System with -review SEM and Analysis System Solutions Hideo Ota Masayuki Hachiya Yoji Ichiyasu

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

International Year of Light 2015 Tech-Talks BREGENZ: Mehmet Arik Well-Being in Office Applications Light Measurement & Quality Parameters

International Year of Light 2015 Tech-Talks BREGENZ: Mehmet Arik Well-Being in Office Applications Light Measurement & Quality Parameters www.led-professional.com ISSN 1993-890X Trends & Technologies for Future Lighting Solutions ReviewJan/Feb 2015 Issue LpR 47 International Year of Light 2015 Tech-Talks BREGENZ: Mehmet Arik Well-Being in

More information

Validation and Calibration. Definitions and Terminology

Validation and Calibration. Definitions and Terminology Validation and Calibration Definitions and Terminology ACCEPTANCE CRITERIA: The specifications and acceptance/rejection criteria, such as acceptable quality level and unacceptable quality level, with an

More information

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure Ivan Lalovic a+, Nigel Farrar* b, Kazuhiro Takahashi c, Eric Kent a, Daniel Colon b, German Rylov b, Alden Acheta a, Koji Toyoda d, Harry

More information

Lithography Part I September, 5 th 2013

Lithography Part I September, 5 th 2013 7. Auswärtsseminar der Arbeitsgruppe Optische Technologien Leupold-Institut für Angewandte Naturwissenschaften (LIAN) der Westsächsischen Hochschule Zwickau Lithography Part I September, 5 th 2013 Heiko

More information

ELECTRON SPIN RESONANCE Last Revised: July 2007

ELECTRON SPIN RESONANCE Last Revised: July 2007 QUESTION TO BE INVESTIGATED ELECTRON SPIN RESONANCE Last Revised: July 2007 How can we measure the Landé g factor for the free electron in DPPH as predicted by quantum mechanics? INTRODUCTION Electron

More information

Scheduler/Dispatcher User Requirements

Scheduler/Dispatcher User Requirements Scheduler/Dispatcher User Requirements SEMATECH and the SEMATECH logo are registered service marks of SEMATECH, Inc. and the logo are registered service marks of, Inc., a wholly-owned subsidiary of SEMATECH,

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Welcome & Introduction

Welcome & Introduction Welcome & Introduction Accelerating the next technology revolution Sitaram Arkalgud, PhD Director Interconnect Temporary Bond Workshop SEMICON West July 11, 2011 San Francisco CA Copyright 2008 SEMATECH,

More information

Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe. Keywords: Carbon Nanotube, Scanning Probe Microscope

Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe. Keywords: Carbon Nanotube, Scanning Probe Microscope International Journal of Arts and Sciences 3(1): 18-26 (2009) CD-ROM. ISSN: 1944-6934 InternationalJournal.org Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe Bedri Onur Kucukyildirim,

More information

ADVANCED IC REVERSE ENGINEERING TECHNIQUES: IN DEPTH ANALYSIS OF A MODERN SMART CARD. Olivier THOMAS Blackhat USA 2015

ADVANCED IC REVERSE ENGINEERING TECHNIQUES: IN DEPTH ANALYSIS OF A MODERN SMART CARD. Olivier THOMAS Blackhat USA 2015 ADVANCED IC REVERSE ENGINEERING TECHNIQUES: IN DEPTH ANALYSIS OF A MODERN SMART CARD Olivier THOMAS Blackhat USA 2015 About Texplained Texplained [Technology Explained] refers

More information

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016 Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms Christophe Maleville Substrate readiness 3 lenses view SOI Consortium C1 - Restricted Conference Tokyo 2016

More information

Characterization of an Ultra-Thick Positive Photoresist for Electroplating Applications

Characterization of an Ultra-Thick Positive Photoresist for Electroplating Applications Characterization of an Ultra-Thick Positive Photoresist for Electroplating Applications Warren W. Flack, Ha-Ai Nguyen Ultratech Stepper, Inc. San Jose, CA 95134 Elliott Capsuto Shin-Etsu MicroSi, Inc.

More information

Automotive Applications of 3D Laser Scanning Introduction

Automotive Applications of 3D Laser Scanning Introduction Automotive Applications of 3D Laser Scanning Kyle Johnston, Ph.D., Metron Systems, Inc. 34935 SE Douglas Street, Suite 110, Snoqualmie, WA 98065 425-396-5577, www.metronsys.com 2002 Metron Systems, Inc

More information

A Strategy for Teaching Finite Element Analysis to Undergraduate Students

A Strategy for Teaching Finite Element Analysis to Undergraduate Students A Strategy for Teaching Finite Element Analysis to Undergraduate Students Gordon Smyrell, School of Computing and Mathematics, University of Teesside The analytical power and design flexibility offered

More information

(Uncertainty) 2. How uncertain is your uncertainty budget?

(Uncertainty) 2. How uncertain is your uncertainty budget? (Uncertainty) 2 How uncertain is your uncertainty budget? Paper Author and Presenter: Dr. Henrik S. Nielsen HN Metrology Consulting, Inc 10219 Coral Reef Way, Indianapolis, IN 46256 Phone: (317) 849 9577,

More information

NRC Publications Archive Archives des publications du CNRC

NRC Publications Archive Archives des publications du CNRC NRC Publications Archive Archives des publications du CNRC Digital 3D Imaging and Modeling: A Metrological Approach Beraldin, Jean-Angelo Publisher s version / Version de l'éditeur: Time Compression Technologies

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Agilent Cary 4000/5000/6000i Series UV-Vis-NIR

Agilent Cary 4000/5000/6000i Series UV-Vis-NIR Agilent Cary 4000/5000/6000i Series UV-Vis-NIR Guaranteed specifications Design overview Double beam, ratio recording, double out-of-plane Littrow monochromator UV-Vis-NIR spectrophotometer (Agilent Cary

More information

The Basics of FEA Procedure

The Basics of FEA Procedure CHAPTER 2 The Basics of FEA Procedure 2.1 Introduction This chapter discusses the spring element, especially for the purpose of introducing various concepts involved in use of the FEA technique. A spring

More information

How To Make Money From Semiconductor Production

How To Make Money From Semiconductor Production ASML 2011 Third Quarter Results Confirming expectation for record sales year Oct 12, 2011 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of 1995: the

More information

Optimao. In control since 1995. Machine Vision: The key considerations for successful visual inspection

Optimao. In control since 1995. Machine Vision: The key considerations for successful visual inspection Optimao In control since 1995 Machine Vision: The key considerations for successful visual inspection There is no such a thing as an off-the-shelf vision system. Just like a drive- or a PLCbased control

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information