RECONFIGURABLE ARCHITECTURES AND TOOLS TOPIC: APPLICATION MAPPING ONTO XILINX FPGAS WITH ISE

Size: px
Start display at page:

Download "RECONFIGURABLE ARCHITECTURES AND TOOLS TOPIC: APPLICATION MAPPING ONTO XILINX FPGAS WITH ISE"

Transcription

1 RECONFIGURABLE ARCHITECTURES AND TOOLS TOPIC: APPLICATION MAPPING ONTO XILINX FPGAS WITH ISE

2 Target FPGA: SPARTAN3E STARTER KIT During this seminar, we will use the Spartan-3E Starter Kit development board. It contains the Spartan-3E XC3S500E FPGA chip. The package is FG320. 2

3 Target FPGA: SPARTAN3E STARTER KIT During this seminar, we will use the Spartan-3E Starter Kit development board. It contains the Spartan-3E XC3S500E FPGA chip. The package is FG320. FPGA 4 press buttons Rotate knob to control position of the illuminated LED Scrolling message 8 LEDs 4 side switches 3

4 Main features The FPGA contains: It includes also: 10,476 logic blocks an LCD 2x16 characters 360Kbits blockram 4 slide switches 72Kbits distributed memory 4 push buttons 20 multiplies 18x18bit and 4DCMs. 8 LEDs Their equivalent number of cells is 500K. 1 VGA output The package has 320 pins 2 serial ports 232 of them are available to designer 1 PS/2 The board contains: 1 10/100 Ethernet PHY 64MB DDR SDRAM A2D and D2A converters 16MB parallel NOR Flash Additional expansion ports 16MB serial SPI Flash 4MB ROM for storing bitstream files 1 CPLD The clock is tuned to 500MHz, whereas there is also a clock input pins. 4

5 XILINX ISE The main screen shows info regarding files and reports Logs, warnings and errors are shown in the bottom Tree-based representation of source files is in upmost-left corner It is possible to insert additional source files, or to edit existing files, with right-click Tree-based representation corresponds to the design hierarchy of entities 5

6 XILINX ISE In the left side, there the available tasks supported by ISE: They depend on the source files Tasks related to physical implementation are shown if the top level entity is selected The main tasks for physical implementation are: Synthesis Implementation (translate, map, place & route) Bitstream generation A report file is retrieved from ach step These reports contain useful info about the design implementation 6

7 XILINX ISE The synthesis output is a netlist file consisted of basic primitives for the specific FPGA device. Primitives can be either physical or logical components that correspond to FPGA blocks The synthesis report contains: Area estimation in term of number of slices Detail number of different primitives (LUT1, LUT2, etc) An estimation regarding the maximum combinational delay (critical path) Note that area and delay estimation are not accurate since: Primitives are clustered in CLBs in next step Delay is tightly firmed to routing connections, which are retrieved after P&R Synthesis can also be performed with other tools (e.g. Leonardo Spectrum) 7

8 Then, application is translated XILINX ISE During this task, netlist files (in EDIF format), are appropriately combined and linked to a new netlist file in Xilinx format It is also possible to use EDIF files, as a starting point for the design EDIF is a industry standard Design constraints (.ucf file) are also read These constraints affects: Mapping between FPGA pins and design ports Timing constraints (e.g. clock and frequency definitions, maximum combinational delay from signal to signal, etc) Spatial constraints over the FPGA These constraints can be inserted either as text or with tools (user constraints sub-tree) If constraint file contains errors, some of them might be reported here, even though these constraints are taken into consideration later in the design flow 8

9 XILINX ISE Next, we perform application mapping During this: An additional netlist optimization is performed Functionalities are appropriately clustered into CLBs This step can fail if part(s) of the source code does not technology mapped properly to the underline FPGA, even though synthesis step does not report any error. 9

10 XILINX ISE After technology mapping, placement and route is performed This is a computational intensive task Alternative placements and routing are evaluated in order to meet design constraints/goals Non acceptable solutions can be derived, since there are: Placements where routing is not feasible Placements where routing does not meet timing constraints P&R algorithms take into account timing/power/area constraints. Reported values regarding area utilization and critical path are higher, as compared to corresponding estimated values from synthesis step 10

11 XILINX ISE Last step deals with bitstream generation The derived bitstream file corresponds to the design that has previously successfully P&R FPGA programming is executed with impact process This task transfers configuration data (in bit level) into programming SRAM memory of the FPGA 11

12 New Project Wizard Select File->New Project from the main menu At the wizard window, we give a name for the new project and click Next button 12

13 New Project Wizard Next, we select the appropriate values regarding our FPGA device Family, device, package, speed Format of source files, synthesis/simulation tool 13

14 Insert design Then, we insert a new source file (in VHDL format) Right-click on the device xc3s500e-4fg320 14

15 Insert design Give the file name, entity name, architecture name, number and type of I/O ports. 15

16 Target application This design transfer the value from a slide switch to an output led Control is performed every 2.62ms (clock period 20ns (or frequency 50MHz) A press button is used for reset entity fpgademo is port(clk: in std_logic; button: in std_logic; switch: in std_logic; led: out std_logic); end fpgademo; architecture fpgademo of fpgademo is signal counter: natural range 0 to 2**17-1; --0 every 2.62ms begin process(clk, button) begin if button='1' then counter<=0; elsif clk'event and clk='1' then counter<=counter+1; if counter=2**17-1 then led<=switch; end if; end if; end process; end fpgademo; 16

17 Source properties 17

18 Constraints file (UCF) Press + icon to show all the available options Execute User Contraints/Edit Contraints (Text) Choose to generate a new file Then, insert the following constraints File with constraints NET "button" LOC = "K17" IOSTANDARD = LVTTL PULLDOWN ; NET "switch" LOC = "L13" IOSTANDARD = LVTTL PULLUP ; #switch 0 NET "led" LOC = "F12" IOSTANDARD = LVTTL SLEW = SLOW DRIVE = 8 ; #led 0 NET "clk" LOC = "C9" IOSTANDARD = LVCMOS33 ; NET "clk" PERIOD = 20.0ns HIGH 40%; 18

19 Constraints file (UCF) With respect to these constraints, we map: Ports of the top level entity in VHDL with FPGA pins This can also be applied with GUI (Assign Package Pins) Their electrical parameters IOSTANDARD for the Ε/Ε standard, PULLDOWN or PULLUP for resolving what occurs if a port does not have input signal, DRIVE for the output current. We also define the clock and period These parameters are defined by the target development board For the purposes of this seminar, we use SPARTAN3E Starter Kit Values are given in the manual 19

20 Select design goals 20

21 Select design goals 21

22 Design implementation We can start design implementation by running Check Syntax at the Synthesize XST sub-tree If errors occur, we correct them and proceed to synthesis Each command is executed with right-click and selecting Run from the drop-down menu 22

23 Design implementation Then, execute each of the design steps sequentially 23

24 Power estimation 24

25 Power estimation It is possible to estimate the power consumption of design For this purpose, a number of parameters has to be defined: Clock frequency, default activity rates, Power is computed at different levels: By clock domain, resource type (logic/signal), I/Os 25

26 Power estimation Note to update power analysis after modifications 26

27 Program FPGA In case there is no error(s),we are ready to program FPGA Connect board with PC through a USB cable Turn-on power supply 27

28 Program FPGA We execute Configure Device (impact) at the Generate Programming File sub-tree Note not to execute many instances simultaneously, since port might be reserved 28

29 Program FPGA Select Configure Devices using Boundary-Scan chain (JTAG) and press Finish button A blue color box with identify succeeded message will be arise 29

30 Program FPGA In the Assign New Configuration File window, we press Cancel All button 30

31 Program FPGA A windows with a chain of 3 programmable modules arises The first module corresponds to the FPGA The rest two are: a 4MB ROM and the CPLD Select (right-click) FPGA module and execute Assign New Configuration File 31

32 Program FPGA Select configuration file (.bit) from the project folder (fpgademo.bit) Press OK at the warning about jtagclk 32

33 Program FPGA Right-click and select Program Press OK at the next window 33

34 Program FPGA If there is no error, a message Program Succeeded will be displayed Move up-down slide switch 0 (most left) at the board and look led 0 (most left) that flash Press button btn_south for reset 34

35 Program FPGA During design implementation it is possible to have reports about the different tasks 35

Lab 1: Introduction to Xilinx ISE Tutorial

Lab 1: Introduction to Xilinx ISE Tutorial Lab 1: Introduction to Xilinx ISE Tutorial This tutorial will introduce the reader to the Xilinx ISE software. Stepby-step instructions will be given to guide the reader through generating a project, creating

More information

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Embedded Processor Hardware Design January 29 th 2015. VIVADO TUTORIAL 1 Table of Contents Requirements... 3 Part 1:

More information

Getting Started with Embedded System Development using MicroBlaze processor & Spartan-3A FPGAs. MicroBlaze

Getting Started with Embedded System Development using MicroBlaze processor & Spartan-3A FPGAs. MicroBlaze Getting Started with Embedded System Development using MicroBlaze processor & Spartan-3A FPGAs This tutorial is an introduction to Embedded System development with the MicroBlaze soft processor and low

More information

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor Von der Hardware zur Software in FPGAs mit Embedded Prozessoren Alexander Hahn Senior Field Application Engineer Lattice Semiconductor AGENDA Overview Mico32 Embedded Processor Development Tool Chain HW/SW

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Lab Experiment 1: The LPC 2148 Education Board

Lab Experiment 1: The LPC 2148 Education Board Lab Experiment 1: The LPC 2148 Education Board 1 Introduction The aim of this course ECE 425L is to help you understand and utilize the functionalities of ARM7TDMI LPC2148 microcontroller. To do that,

More information

Installing Remote Desktop Connection

Installing Remote Desktop Connection SETTING UP YOUR REMOTE DESKTOP This section will assist you in setting you Remote Desktop Connection. This will allow you to create an icon for easy access to your virtual desktop. DISCLAIMER: All images

More information

Rotary Encoder Interface for Spartan-3E Starter Kit

Rotary Encoder Interface for Spartan-3E Starter Kit Rotary Encoder Interface for Spartan-3E Starter Kit Ken Chapman Xilinx Ltd 2 th February 26 Rev.2 With thanks to Peter Alfke (Xilinx Inc.) Limitations Limited Warranty and Disclaimer. These designs are

More information

Keep it Simple Timing

Keep it Simple Timing Keep it Simple Timing Support... 1 Introduction... 2 Turn On and Go... 3 Start Clock for Orienteering... 3 Pre Start Clock for Orienteering... 3 Real Time / Finish Clock... 3 Timer Clock... 4 Configuring

More information

SKP16C62P Tutorial 1 Software Development Process using HEW. Renesas Technology America Inc.

SKP16C62P Tutorial 1 Software Development Process using HEW. Renesas Technology America Inc. SKP16C62P Tutorial 1 Software Development Process using HEW Renesas Technology America Inc. 1 Overview The following tutorial is a brief introduction on how to develop and debug programs using HEW (Highperformance

More information

Spartan-3E FPGA Starter Kit Board User Guide. UG230 (v1.2) January 20, 2011

Spartan-3E FPGA Starter Kit Board User Guide. UG230 (v1.2) January 20, 2011 Spartan-3E FPGA Starter Kit Board User Guide R Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs to operate on, or interface

More information

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit 1 Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENT OF FOR THE DEGREE IN Bachelor of Technology In Electronics and Communication

More information

RTL Technology and Schematic Viewers

RTL Technology and Schematic Viewers RTL Technology and Schematic Viewers Tutorial [optional] [optional] Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development

More information

USB - FPGA MODULE (PRELIMINARY)

USB - FPGA MODULE (PRELIMINARY) DLP-HS-FPGA LEAD-FREE USB - FPGA MODULE (PRELIMINARY) APPLICATIONS: - Rapid Prototyping - Educational Tool - Industrial / Process Control - Data Acquisition / Processing - Embedded Processor FEATURES:

More information

Xilinx ISE. <Release Version: 10.1i> Tutorial. Department of Electrical and Computer Engineering State University of New York New Paltz

Xilinx ISE. <Release Version: 10.1i> Tutorial. Department of Electrical and Computer Engineering State University of New York New Paltz Xilinx ISE Tutorial Department of Electrical and Computer Engineering State University of New York New Paltz Fall 2010 Baback Izadi Starting the ISE Software Start ISE from the

More information

LiveDesign Evaluation Board Technical Reference Manual. Technical reference manual for Altium s LiveDesign Evaluation Boards

LiveDesign Evaluation Board Technical Reference Manual. Technical reference manual for Altium s LiveDesign Evaluation Boards LiveDesign Evaluation Board Technical Reference Manual Technical reference manual for Altium s LiveDesign Evaluation Boards CAUTION THIS EQUIPMENT INCLUDES EXPOSED ELECTRONIC COMPONENTS THAT ARE HIGHLY

More information

Quick Start Guide. MRB-KW01 Development Platform Radio Utility Application Demo MODULAR REFERENCE BOARD

Quick Start Guide. MRB-KW01 Development Platform Radio Utility Application Demo MODULAR REFERENCE BOARD Quick Start Guide MRB-KW01 Development Platform Radio Utility Application Demo MODULAR REFERENCE BOARD Quick Start Guide Get to Know the MRB-KW01x Module UART Selector ANT 1 RFIO (TX/RX) USB 2.0 Serial

More information

Digital Circuit Design Using Xilinx ISE Tools

Digital Circuit Design Using Xilinx ISE Tools Digital Circuit Design Using Xilinx ISE Tools Contents 1. Introduction... 1 2. Programmable Logic Device: FPGA... 2 3. Creating a New Project... 2 4. Synthesis and Implementation of the Design... 11 5.

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

How to read this guide

How to read this guide How to read this guide The following shows the symbols used in this Quick start guide with descriptions and examples. Symbol Description Example P oint Reference Caution [ ] This symbol explains information

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition A Tutorial Approach James O. Hamblen Georgia Institute of Technology Michael D. Furman Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS Boston

More information

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

Using Xilinx ISE for VHDL Based Design

Using Xilinx ISE for VHDL Based Design ECE 561 Project 4-1 - Using Xilinx ISE for VHDL Based Design In this project you will learn to create a design module from VHDL code. With Xilinx ISE, you can easily create modules from VHDL code using

More information

PNOZmulti Configurator V8.1.1

PNOZmulti Configurator V8.1.1 General 1000 PNOZmulti 1.1 1100 General 1- ][Allgemein Configurator V8.1.1 This document contains important information, which must be noted. This document also contains details of the changes made in

More information

EVAL-UFDC-1/UFDC-1M-16

EVAL-UFDC-1/UFDC-1M-16 Evaluation Board for Universal Frequency-to- Digital Converters UFDC-1 and UFDC-1M-16 EVAL-UFDC-1/UFDC-1M-16 FEATURES Full-Featured Evaluation Board for the Universal Frequency-to-Digital Converters UFDC-1

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

ISE In-Depth Tutorial. UG695 (v14.1) April 24, 2012

ISE In-Depth Tutorial. UG695 (v14.1) April 24, 2012 ISE In-Depth Tutorial Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To the maximum extent permitted by

More information

DAS202Tools v1.0.0 for DAS202 Operating Manual

DAS202Tools v1.0.0 for DAS202 Operating Manual DAS202Tools v1.0.0 for DAS202 Operating Manual DAT102Tools 1.0.0 Manual Table of context 2 Table of Contents 1 General Information... 3 2 PC... Configuration Requirements 3 3 Software Installation... 3

More information

Quartus II Introduction for VHDL Users

Quartus II Introduction for VHDL Users Quartus II Introduction for VHDL Users This tutorial presents an introduction to the Quartus II software. It gives a general overview of a typical CAD flow for designing circuits that are implemented by

More information

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev. 1.4. Key Design Features. Block Diagram. Generic Parameters.

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev. 1.4. Key Design Features. Block Diagram. Generic Parameters. Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core 16-bit signed output samples 32-bit phase accumulator (tuning word) 32-bit phase shift feature Phase resolution of 2π/2

More information

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces White Paper Introduction The DDR3 SDRAM memory architectures support higher bandwidths with bus rates of 600 Mbps to 1.6 Gbps (300 to 800 MHz), 1.5V operation for lower power, and higher densities of 2

More information

RCC2 Test Proceedures

RCC2 Test Proceedures RCC2 Test Proceedures 1. Power-up Test 1. install board in VME crate (6U style or 6U section of 9U) and turn on power 2. check that cfg LED (D4) on front panel and D3 LED on board near U47 are lit (red)

More information

UPS Monitoring and Management Software

UPS Monitoring and Management Software UPS Monitoring and Management Software LEN.MAN.SOF.141 Rev.1.00/2008 User s Guide: Easy-Mon X 1. Configuration Before Easy-Mon X software can display the electrical data of LEONICS UPS, user has to configure

More information

SA-9600 Surface Area Software Manual

SA-9600 Surface Area Software Manual SA-9600 Surface Area Software Manual Version 4.0 Introduction The operation and data Presentation of the SA-9600 Surface Area analyzer is performed using a Microsoft Windows based software package. The

More information

SharpdeskTM R3.1. Installation Guide Version 3.1.01

SharpdeskTM R3.1. Installation Guide Version 3.1.01 SharpdeskTM R3.1 Installation Guide Version 3.1.01 1 Copyright 2000-2004 by Sharp Corporation. All rights reserved. Reproduction, adaptation or translation without prior written permission is prohibited,

More information

SPI Flash Programming and Hardware Interfacing Using ispvm System

SPI Flash Programming and Hardware Interfacing Using ispvm System March 2005 Introduction Technical Note TN1081 SRAM-based FPGA devices are volatile and require reconfiguration after power cycles. This requires external configuration data to be held in a non-volatile

More information

Table of Contents. 1. Overview... 3. 1.1 Materials Required. 3 1.2 System Requirements. 3 1.3 User Mode 3. 2. Installation Instructions..

Table of Contents. 1. Overview... 3. 1.1 Materials Required. 3 1.2 System Requirements. 3 1.3 User Mode 3. 2. Installation Instructions.. Table of Contents 1. Overview..... 3 1.1 Materials Required. 3 1.2 System Requirements. 3 1.3 User Mode 3 2. Installation Instructions.. 4 2.1 Installing the On Call Diabetes Management Software. 4 2.2

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

Design of Remote Laboratory dedicated to E2LP board for e-learning courses.

Design of Remote Laboratory dedicated to E2LP board for e-learning courses. Proceedings of the E2LP Workshop Warsaw, 2014, pp. 25 29 DOI: 10.15439/2014F672 ACSIS, Vol. 4 Design of Remote Laboratory dedicated to E2LP board for e-learning courses. Jan Piwiński Email: jpiwinski@piap.pl

More information

Programming the On-Chip Flash on a phycore-xc161 phycore-xc167

Programming the On-Chip Flash on a phycore-xc161 phycore-xc167 Application Note Programming the On-Chip Flash on a phycore-xc161 phycore-xc167 Application Note Edition July 2003 LAN-020e_1 Application Note Preface...1 1 Installing Infineon MemTool...2 2 Preparing

More information

Start A New Project with Keil Microcontroller Development Kit Version 5 and Freescale FRDM-KL25Z

Start A New Project with Keil Microcontroller Development Kit Version 5 and Freescale FRDM-KL25Z Start A New Project with Keil Microcontroller Development Kit Version 5 and Freescale FRDM-KL25Z This tutorial is intended for starting a new project to develop software with Freescale FRDM-KL25Z board

More information

WinCON8000-R2/R8. Hardware User s Manual

WinCON8000-R2/R8. Hardware User s Manual WinCON8000-R2/R8 Compact Embedded Controller Hardware User s Manual REV 1.1 2004/10/22 1 Warranty All products manufactured by ICP DAS are warranted against defective materials for a period of one year

More information

LatticeXP2 Configuration Encryption and Security Usage Guide

LatticeXP2 Configuration Encryption and Security Usage Guide April 2013 Introduction Technical Note TN1142 Unlike a volatile FPGA, which requires an external boot-prom to store configuration data, the LatticeXP2 devices are non-volatile and have on-chip configuration

More information

Here is a list of machines and the file folder names they use:

Here is a list of machines and the file folder names they use: Transferring a design from your PC to a USB Stick or ATA Card (compact flash card) without the use of Janome software is a simple process. For machines that have only a main folder, place the.jef files

More information

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1 VHDL Short Course Module 1 Introduction Jim Duckworth ECE Department, WPI Jim Duckworth, WPI 1 Topics Background to VHDL Introduction to language Programmable Logic Devices CPLDs and FPGAs FPGA architecture

More information

ebus Player Quick Start Guide

ebus Player Quick Start Guide ebus Player Quick Start Guide This guide provides you with the information you need to efficiently set up and start using the ebus Player software application to control your GigE Vision or USB3 Vision

More information

TR-3 Channel Editor. Software Manual

TR-3 Channel Editor. Software Manual TR-3 Channel Editor Software Manual Trilithic Company Profile Trilithic is a privately held manufacturer founded in 1986 as an engineering and assembly company that built and designed customer-directed

More information

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER OBJECTIVES 1. Learn the basic elements of VHDL that are implemented in Warp. 2. Build a simple application using VHDL and

More information

MAX II ISP Update with I/O Control & Register Data Retention

MAX II ISP Update with I/O Control & Register Data Retention MAX II ISP Update with I/O Control & Register Data Retention March 2006, ver 1.0 Application Note 410 Introduction MAX II devices support the real-time in-system mability (ISP) feature that allows you

More information

User Guide HUAWEI UML397. Welcome to HUAWEI

User Guide HUAWEI UML397. Welcome to HUAWEI User Guide HUAWEI UML397 Welcome to HUAWEI 1 Huawei UML397 4G LTE Wireless Modem User Manual CHAPTER 1 BEFORE USING YOUR UML397 WIRELESS MODEM ABOUT THIS USER MANUAL...4 WHAT S INSIDE THE PRODUCT PACKAGE...4

More information

BECKHOFF. Application Notes. www.beckhoffautomation.com. BC9000: Getting Started Guide. For additional documentation, please visit.

BECKHOFF. Application Notes. www.beckhoffautomation.com. BC9000: Getting Started Guide. For additional documentation, please visit. BECKHOFF Application Notes www.beckhoffautomation.com BC9000: Getting Started Guide BC-AppNote-002 1.0 27 August 2007 This application note is intended for the first time user of the BC9000 and TwinCAT

More information

Open Flow Controller and Switch Datasheet

Open Flow Controller and Switch Datasheet Open Flow Controller and Switch Datasheet California State University Chico Alan Braithwaite Spring 2013 Block Diagram Figure 1. High Level Block Diagram The project will consist of a network development

More information

HDL Simulation Framework

HDL Simulation Framework PPC-System.mhs CoreGen Dateien.xco HDL-Design.vhd /.v SimGen HDL Wrapper Sim-Modelle.vhd /.v Platgen Coregen XST HDL Simulation Framework RAM Map Netzliste Netzliste Netzliste UNISIM NetGen vcom / vlog.bmm.ngc.ngc.ngc

More information

User s Guide for version 5 Page

User s Guide for version 5 Page Network Management Interface Catalog VPi 32.15E For use with these ATS products: 7000 & 4000 Series (Group 5 Controller) Series 300 (Group 1 Controller), and 940, 962, 436, 434, 447, 448 (Group 6A/7A Control

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

Modeling Registers and Counters

Modeling Registers and Counters Lab Workbook Introduction When several flip-flops are grouped together, with a common clock, to hold related information the resulting circuit is called a register. Just like flip-flops, registers may

More information

Quartus II Introduction Using VHDL Design

Quartus II Introduction Using VHDL Design Quartus II Introduction Using VHDL Design This tutorial presents an introduction to the Quartus R II CAD system. It gives a general overview of a typical CAD flow for designing circuits that are implemented

More information

PMS. Energy management and monitoring software. Installation and operation instructions

PMS. Energy management and monitoring software. Installation and operation instructions PMS Energy management and monitoring software Installation and operation instructions version 1.0 Czech Republic Czech Republic 1 Content 1. PMS introduction... 3 1.1. PMS structure... 3 1.2. System requirements...

More information

Block 3 Size 0 KB 0 KB 16KB 32KB. Start Address N/A N/A F4000H F0000H. Start Address FA000H F8000H F8000H F8000H. Block 2 Size 8KB 16KB 16KB 16KB

Block 3 Size 0 KB 0 KB 16KB 32KB. Start Address N/A N/A F4000H F0000H. Start Address FA000H F8000H F8000H F8000H. Block 2 Size 8KB 16KB 16KB 16KB APPLICATION NOTE M16C/26 1.0 Abstract The following article describes using a synchronous serial port and the FoUSB (Flash-over-USB ) Programmer application to program the user flash memory of the M16C/26

More information

125 8880 telstra.com/ppmbb visit a telstra store or partner GETTING TO KNOW YOUR

125 8880 telstra.com/ppmbb visit a telstra store or partner GETTING TO KNOW YOUR FOR MORE INFORMATIoN: 125 8880 telstra.com/ppmbb visit a telstra store or partner GETTING TO KNOW YOUR Telstra PRE-paid 4G wi-fi LET S GET THIS SHOW ON THE ROad WHAT S INSIDE Your Telstra Pre-Paid 4G Wi-Fi

More information

AMC13 T1 Rev 2 Preliminary Design Review. E. Hazen Boston University. 2012-10-30 E. Hazen - AMC13 T1 V2 1

AMC13 T1 Rev 2 Preliminary Design Review. E. Hazen Boston University. 2012-10-30 E. Hazen - AMC13 T1 V2 1 13 T1 Rev 2 Preliminary Design Review E. Hazen Boston University 2012-10-30 E. Hazen - 13 T1 V2 1 Scope of this Review Background: 13 T1 board is being revised to support 10 GbE per request from CDAQ group

More information

JTAG-HS2 Programming Cable for Xilinx FPGAs. Overview. Revised January 22, 2015 This manual applies to the HTAG-HS2 rev. A

JTAG-HS2 Programming Cable for Xilinx FPGAs. Overview. Revised January 22, 2015 This manual applies to the HTAG-HS2 rev. A 1300 Henley Court Pullman, WA 99163 509.334.6306 www.digilentinc.com Programming Cable for Xilinx FPGAs Revised January 22, 2015 This manual applies to the HTAG-HS2 rev. A Overview The Joint Test Action

More information

Extended Boundary Scan Test breaching the analog ban. Marcel Swinnen, teamleader test engineering

Extended Boundary Scan Test breaching the analog ban. Marcel Swinnen, teamleader test engineering Extended Boundary Scan Test breaching the analog ban Marcel Swinnen, teamleader test engineering 11-11-2014 2 zero-defect quality impossible to produce zero-defect boards early involvement services (Design

More information

Chapter 6. Inside the System Unit. What You Will Learn... Computers Are Your Future. What You Will Learn... Describing Hardware Performance

Chapter 6. Inside the System Unit. What You Will Learn... Computers Are Your Future. What You Will Learn... Describing Hardware Performance What You Will Learn... Computers Are Your Future Chapter 6 Understand how computers represent data Understand the measurements used to describe data transfer rates and data storage capacity List the components

More information

WEEE Directive & Product Disposal

WEEE Directive & Product Disposal User s Manual WEEE Directive & Product Disposal At the end of its serviceable life, this product should not be treated as household or general waste. It should be handed over to the applicable collection

More information

SecureLinx Spider Duo Quick Start Guide

SecureLinx Spider Duo Quick Start Guide SecureLinx Spider Duo Quick Start Guide SecureLinx Spider Duo Quick Start Guide SecureLinx Spider Duo QUICK START GUIDE CONTENTS Overview... 2 What s In The Box... 3 Installation and Network Settings...

More information

Ultra Thin Client TC-401 TC-402. Users s Guide

Ultra Thin Client TC-401 TC-402. Users s Guide Ultra Thin Client TC-401 TC-402 Users s Guide CONTENT 1. OVERVIEW... 3 1.1 HARDWARE SPECIFICATION... 3 1.2 SOFTWARE OVERVIEW... 4 1.3 HARDWARE OVERVIEW...5 1.4 NETWORK CONNECTION... 7 2. INSTALLING THE

More information

Initial Design for Spartan-3E Starter Kit

Initial Design for Spartan-3E Starter Kit Initial Design for Spartan-3E Starter Kit (LCD Display Control) Ken Chapman Xilinx Ltd 16 th February 2006 Rev.2 Limitations Limited Warranty and Disclaimer. These designs are provided to you as is. Xilinx

More information

Setting Up the Cisco Unified IP Phone

Setting Up the Cisco Unified IP Phone CHAPTER 3 This chapter includes the following topics, which help you install the Cisco Unified IP Phone on an IP telephony network: Before You Begin, page 3-1 Understanding the Cisco Unified IP Phone 7962G

More information

ChipScope Pro Tutorial

ChipScope Pro Tutorial ChipScope Pro Tutorial Using an IBERT Core with ChipScope Pro Analyzer Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

Getting Started with the Xilinx Zynq- 7000 All Programmable SoC Mini-ITX Development Kit

Getting Started with the Xilinx Zynq- 7000 All Programmable SoC Mini-ITX Development Kit Getting Started with the Xilinx Zynq- 7000 All Programmable SoC Mini-ITX Development Kit Table of Contents ABOUT THIS GUIDE... 3 ADDITIONAL DOCUMENTATION... 3 ADDITIONAL SUPPORT RESOURCES... 3 INTRODUCTION...

More information

VGA video signal generation

VGA video signal generation A VGA display controller VGA video signal generation A VGA video signal contains 5 active signals: horizontal sync: digital signal, used for synchronisation of the video vertical sync: digital signal,

More information

Network DK2 DESkey Installation Guide

Network DK2 DESkey Installation Guide VenturiOne Getting Started Network DK2 DESkey Installation Guide PD-056-306 DESkey Network Server Manual Applied Cytometry CONTENTS 1 DK2 Network Server Overview... 2 2 DK2 Network Server Installation...

More information

Installing a Westell USB Network Adapter

Installing a Westell USB Network Adapter Installing a Westell USB Network Adapter Before You Begin Before you begin installing your Westell USB Network adapter: 1. Make sure the computer with the USB adapter meets the minimum system requirements

More information

Chapter 4 Control Center

Chapter 4 Control Center Chapter 4 Control Center Control Center is a central monitoring station solution (CMS) that provides the CMS operator with these major features: Access to client DVRs (See Remote DVR) Access to remote

More information

Creating a Webserver on the Nios development kit Stratix Edition board Enoch Hwang

Creating a Webserver on the Nios development kit Stratix Edition board Enoch Hwang Creating a Webserver on the Nios development kit Stratix Edition board Enoch Hwang A) Creating the Nios System (Nios v3.2 can only work with Quartus II v5.1 and below. Need to also remove Nios II completely.)

More information

Tutorial for MPLAB Starter Kit for PIC18F

Tutorial for MPLAB Starter Kit for PIC18F Tutorial for MPLAB Starter Kit for PIC18F 2006 Microchip Technology Incorporated. All Rights Reserved. WebSeminar Title Slide 1 Welcome to the tutorial for the MPLAB Starter Kit for PIC18F. My name is

More information

300K Pixels Digital Camera

300K Pixels Digital Camera 300K Pixels Digital Camera Owner s Manual v2.0 Warning: 1. Do not connect the camera to the computer before the driver and software is installed. 2. Download images from the camera before the battery runs

More information

EZblue BusinessServer The All - In - One Server For Your Home And Business

EZblue BusinessServer The All - In - One Server For Your Home And Business EZblue BusinessServer The All - In - One Server For Your Home And Business Quick Start Guide Version 3.11 1 2 3 EZblue Server Overview EZblue Server Installation EZblue Server Configuration 4 EZblue Magellan

More information

genie app and genie mobile app

genie app and genie mobile app genie app and genie mobile app User Manual 350 East Plumeria Drive San Jose, CA 95134 USA June 2012 202-10933-02 v1.0 2012 NETGEAR, Inc. All rights reserved No part of this publication may be reproduced,

More information

Tutorial: Configuring GOOSE in MiCOM S1 Studio 1. Requirements

Tutorial: Configuring GOOSE in MiCOM S1 Studio 1. Requirements Tutorial: Configuring GOOSE in MiCOM S1 Studio 1. Requirements - Two (2) MiCOM Px4x IEDs with Version 2 implementation of IEC 61850 - Two (2) Cat 5E Ethernet cable - An Ethernet switch 10/100 Mbps - MiCOM

More information

Specific Information for installation and use of the database Report Tool used with FTSW100 software.

Specific Information for installation and use of the database Report Tool used with FTSW100 software. Database Report Tool This manual contains: Specific Information for installation and use of the database Report Tool used with FTSW100 software. Database Report Tool for use with FTSW100 versions 2.01

More information

ISE In-Depth Tutorial 10.1

ISE In-Depth Tutorial 10.1 ISE In-Depth Tutorial 10.1 R Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs to operate on, or interface with Xilinx

More information

TERMINAL Debug Console Instrument

TERMINAL Debug Console Instrument Summary This document describes how to place and use the TERMINAL virtual instrument in an FPGA design. Core Reference CR0180 (v2.0) March 06, 2008 The TERMINAL device is a debug console instrument for

More information

Option nv, Gaston Geenslaan 14, B-3001 Leuven Tel +32 16 317 411 Fax +32 16 207 164 http://www.option.com Page 1 of 14

Option nv, Gaston Geenslaan 14, B-3001 Leuven Tel +32 16 317 411 Fax +32 16 207 164 http://www.option.com Page 1 of 14 Page 1 of 14 ! " #!"#$ % &&' Page 2 of 14 1 INTRODUCTION One of the highly desirable features of the GlobeSurfer III device is the ability to network together Printers and External Hard Disk drives (aka

More information

PMS. Energy management and monitoring software. Installation and operation instructions. BMR trading Horní lán 17 77900 Olomouc Czech Republic

PMS. Energy management and monitoring software. Installation and operation instructions. BMR trading Horní lán 17 77900 Olomouc Czech Republic PMS Energy management and monitoring software Installation and operation instructions version 1.5 77900 Olomouc 1 Content 1. PMS introduction...3 1.1. PMS structure...3 1.2. System requirements...3 2.

More information

Mitsubishi Electric Q Series PLC Ladder Monitor. Operation Manual

Mitsubishi Electric Q Series PLC Ladder Monitor. Operation Manual Mitsubishi Electric Q Series PLC Ladder Monitor Operation Manual Preface Thank you for purchasing Pro-face's PLC Ladder Monitor Add-on Kit for the Mitsubishi Electric Q Series PLC. This manual ("Mitsubishi

More information

Post-Configuration Access to SPI Flash Memory with Virtex-5 FPGAs Author: Daniel Cherry

Post-Configuration Access to SPI Flash Memory with Virtex-5 FPGAs Author: Daniel Cherry Application Note: Virtex-5 Family XAPP1020 (v1.0) June 01, 2009 Post-Configuration Access to SPI Flash Memory with Virtex-5 FPGAs Author: Daniel Cherry Summary Virtex -5 FPGAs support direct configuration

More information

Current Cost Data Cable User Guide. Installing and configuring the data cable

Current Cost Data Cable User Guide. Installing and configuring the data cable Current Cost Data Cable User Guide Installing and configuring the data cable Contents About the Data Cable... 3 Data Cable Installation Steps... 3 Post Installation Checks... 3 So the driver is installed,

More information

QUICK START GUIDE. SG2 Client - Programming Software SG2 Series Programmable Logic Relay

QUICK START GUIDE. SG2 Client - Programming Software SG2 Series Programmable Logic Relay QUICK START GUIDE SG2 Client - Programming Software SG2 Series Programmable Logic Relay SG2 Client Programming Software T he SG2 Client software is the program editor for the SG2 Series Programmable Logic

More information

Jianjian Song LogicWorks 4 Tutorials (5/15/03) Page 1 of 14

Jianjian Song LogicWorks 4 Tutorials (5/15/03) Page 1 of 14 LogicWorks 4 Tutorials Jianjian Song Department of Electrical and Computer Engineering Rose-Hulman Institute of Technology March 23 Table of Contents LogicWorks 4 Installation and update...2 2 Tutorial

More information

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Contents Überblick: Aufbau moderner FPGA Einblick: Eigenschaften

More information

T R O U B L E S H O O T I N G T I P S

T R O U B L E S H O O T I N G T I P S T R O U B L E S H O O T I N G T I P S D I C T A T I O N M O D U L E 1.) PROBLEM: MEMORY ERROR/FORMAT MESSAGE OR LOCK SYMBOL ON RECORDER A.) Solution: DO NOT TRY TO USE THE RECORDER. Using the recorder

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

TE100-P21/TEW-P21G Windows 7 Installation Instruction

TE100-P21/TEW-P21G Windows 7 Installation Instruction Hardware Installation TE100-P21/TEW-P21G Windows 7 Installation Instruction 1. Go to http://www.trendnet.com/downloads/ to download the Windows 7 Utility. Save the file to your desktop. 2. Right click

More information

STIM202 Evaluation Kit

STIM202 Evaluation Kit Table of contents: 1 FEATURES... 2 2 GENERAL DESCRIPTIONS AND SYSTEM CONTENTS... 2 3 SYSTEM REQUIREMENTS... 2 4 GETTING STARTED... 3 4.1 INSTALLATION OF NI-SERIAL CABLE ASSEMBLY DRIVER... 3 4.2 INSTALLATION

More information

How to Program a Commander or Scout to Connect to Pilot Software

How to Program a Commander or Scout to Connect to Pilot Software How to Program a Commander or Scout to Connect to Pilot Software Commander and Scout are monitoring and control products that can transfer physical environmental conditions and alarm sensor electrical

More information