BADGE. Documentation. Slices 1 IOB 2 GCLK BRAM

Size: px
Start display at page:

Download "BADGE. Documentation. Slices 1 IOB 2 GCLK BRAM"

Transcription

1 BitSim Accelerated Graphics Display Engine May 7, 2008 Product Specification BitSim AB S:t Eriksgatan Stockholm Sweden Phone: Fax: URL: Features AllianceCORE Facts Documentation Design File Formats Constraints Files Verification Instantiation Templates Reference Designs & Application Notes Additional Items Provided with Core User Guide, Programmers Guide, Product Briefs, Technical Notes EDIF netlist, VHDL.ucf VHDL Test Bench, Command files VHDL BADGER-Ref Design, API, Decompression Windows CE, Linux device drivers, Simulation Tool Used Provides Hardware-Accelerated Graphics and Text for Embedded Systems Modular Design that ensures scalability and cost effective implementations Text/Graphics overlay on Video Scalable Text & 2D Acceleration (incl. BitBLT with Raster Operations) Support for Multiple Video sources Up to 4096 x 4096 pixels display resolution Color depth up to 24 bits per pixel Anti-aliasing, high quality graphics JPEG and Deflate options for Data Compression/ Decompression - * New * CPU-data buses of 32, 16 and 8-bit supported, or serial busses API - Easy to use Reference Design available for evaluation and development - * New * SW Utilities Easy development of graphics for the target system- * New * ModelSim (Mentor Graphics) Support Support provided by BitSim AB API for non OS users. Table 1: Example Implementation Statistics for Xilinx FPGAs Fmax Family Example Device (MHz) Slices 1 IOB 2 GCLK BRAM MULT/ DSP48/E DCM / Design CMT MGT Tools Spartan -3x XC3S N/A ISE i Virtex -4 XC4LV N/A ISE i Virtex -5 XC5VLX N/A ISE i Notes: 1) Actual slice count dependent on percentage of unrelated logic see Mapping Report File for details 2) Assuming all core I/Os and clocks are routed off-chip May 7, Xilinx, Inc. All rights reserved. XILINX, the Xilinx Logo, and other designated brands included herein are trademarks of Xilinx, Inc.

2 Host Host Interface Video Display Controller Display Memory Interface Memory Figure 1: BADGE Block Diagram Features (continued) Alpha Blending (2 types) Scalable Layer support Supports multi-buffered frame memory flicker fee Programmable frame rate Sprites - Hardware-cursor support Supports parallel LVTTL, serial LVDS and DVI compatible TFT-interfaces Supports Display Power Sequencing Supports DE Only Mode, for displays which do not use hsync and vsync inputs Support of Portrait mode Windows CE drivers available (4.2, 5 & 6) Linux drivers (accelerated Frame Buffer for Linux) A Test Pattern Generator is included, for display debug purpose Fully synchronous, synthesizable and technology independent RTL code Adaptable for any processor, i.e. MicroBlaze, PowerPC, XScale, 386EX, Freescale, Renesas, NEC, Fujitsu, etc. Applications Medical Instrumentation Industrial Equipment Defense Automotive Test and Measurement Instrumentation Gaming and Amusement Machines General Description 2 May 7, 2008

3 BitSim General Description Brief BitSim Accelerated Display Graphics Engine, BADGE, accelerates graphics and drive displays in Embedded Systems. BADGE provides Text and 2D acceleration. BADGE can handle multiple video sources and overlays graphics and text on video. BADGE can be scaled and adapted to fit both high performance requirements as well as fitted into highly cost optimized applications. BADGE provides a solution for products with life cycles longer than consumer products. The FPGA enables a design platform that can be used for multiple products. BADGE allows the designer to reuse processor solutions and still take full advantage of the latest development in display technology and memory technology. BADGE enables advanced graphics without the cost or power increase of a high performance processor. Example of tasks: Draw pixels, lines and rectangles Write text of various fonts, sizes and colors Copy, resize and recolor objects of any shape Do Bit Block Transfers BitBLT and Raster Operations, ROP Draw/Move graphical objects e.g. sprites Analog and Digital Video BADGE acts as a Graphic Accelerator between the host processor and the LCD/TFT displays. BADGE includes a number of s (Graphics Processing Units). See fig 1.These work in parallel for generating the accelerated graphics in the graphics memory. Each have a specialized functionality. There are s for drawing, copying, scaling etc. BADGE provides graphics acceleration for drawing common shapes, such as lines, rectangles and text. Shapes that are seldom used can be drawn in the traditional way by software. BADGE can accelerate, Bit Block Transfers, BitBLT, and copy operations combined with Raster Operations. This is an effective method to copy an object of any shape. It can also be used to create basic animations. BADGE is a modular design, meaning that in a certain system, only the s with the required functionality have to be included. Other s can be omitted in order to save cost, power and size. This way it possible to tailor-make BADGE for a specific application and make a trade-off between hardwareaccelerated features using larger silicon area or non-accelerated software generated graphics. The external graphics memory is scaled to match requirement of the application. It is used for storing the displayed image, other images and graphical objects, such as text fonts and symbols. There are different variants of memory controllers available, aimed at different memory sizes and types. A variety of host controllers aimed at different CPU buses and display controller aimed at different display interface types. The adaptation makes it possible to tailor BADGE for a large span of need. May 7,

4 Functional Description Host Interface The host controller interface handles the communication with the host CPU. A large variety of host CPU buses can be supported. To interface BADGE to a particular host CPU bus, a host controller variant for the particular bus is instantiated in BADGE. Available is interfaces for range of host controllers such as: Xilinx MicroBlaze and PowerPC, Intel XScale and 386, Freescale PowerPC and Coldfire, Renesas H & M, NEC, Fujitsu. Some applications allow for Serial Host interfaces such as SPI, I2C, CAN or a basic RS232 link. Memory Interface The memory controller handles the interface to external graphics memory. It includes an arbiter, which administrates all data traffic between the s and the graphics memory. Several different memory types can be supported. To interface BADGE to a particular memory type, a memory controller variant for the particular memory type is instantiated in BADGE. Currently available memory controllers are SDRAM, DDR, SRAM and ZBT-SRAM. Other memory interfaces can be supported on request. Display Controller The display controller handles the interface to the LCD/TFT display. It reads image data from the graphics memory and outputs it to the display together with display clock, sync and enable signals. The display controller provides a sprite, a hardware cursor. A Color Look Up Table, CLUT, can be implemented to save memory and memory bandwidth. Optionally a selectable numbers of hardware layers can be implemented. Multiple displays are supported. The interface to the display can either be a parallel LVTTL, serial LVDS or optional a DVI compatible interface. A combination of interfaces is also possible. s The Graphics Processing Units in BADGE performs the accelerated graphics operations. When running in a system, the host CPU issues graphics commands to the host controller block. This block routes the command to the associated with the command, and then the executes the command. Examples of available s are: CHR The Character is used to accelerate text drawing with various fonts, sizes and colors. Anti aliased fonts are supported. Simple Commands relieves the Host processor complex text rendering operations. RCC The Rectangle Copy performs rectangle copying (e.g. BitBLT ) including Raster OPerations (ROP). ROP is normally used in Graphical User Interfaces (GUI), for example inverting and shadowing of icons. Animations and other 2D effects are easy to create with this block. SPD The Simple Pixel Drawing is used for drawing points, lines and rectangles, with specified color. IPU The Inflate Unit decompresses material that is stored with compress Deflate to minimize storage size and transfer time. IPU versions for serial parallel memory are available. 4 May 7, 2008

5 JPU The JPEG Unit decompresses JPEG material. Regular photos use this HW acceleration to be decompressed and available with very low delay. JPU versions for serial parallel memory are in development. VPU The Video Processing Unit is used for generating graphics overlay on a video signal. The video signal is fed to BADGE, and then BADGE synchronizes with that video signal and overlays graphics or text. BitSim BADGE accepts analog video signals, such as composite video (CVBS), S-video or RGB as input. In addition, digital uncompressed video signals, such as SDI, are supported. The NTSC and PAL detection is automatic. The picture can be positioned to any part of the screen. Both basic and advanced scaling options are available. The VPU converts the received ITU-R BT.656 into 16-bit RGB format. A multiplexer switches between BADGE graphics and video from the VPU, on a pixel-by-pixel basis. For analog video, a composite video decoder or ADC is required external to the FPGA. For SDI, an external deserializer is required. The output from the decoder/adc or deserializer should comply with ITU-R BT.601/656, which is the format that BADGE accepts as input. The input data is selectable between 8-bit width at 27 MHz, or 16-bit width at 13.5 MHz. An optional configuration uses an alpha blender instead of the multiplexer. The alpha blender makes it possible to gradually mix different percentages of video respective graphics within the same pixel.description of each block in the Figure 1 block diagram. Core Modifications BADGE is currently available in four base configurations, with additional options for data compression and scaling. BADGE can be adapted and modified to meet a wide range of needs. The result is highly optimized implementations meeting targets for high performance, or highly compact solutions. Contact BitSim AB to discuss adaptation and modifications. Configuration BADGE Lite BADGE 2D BADGE Video BADGE Full Description The Display-Controlling device Pixel-by-pixel access HW Cursor Adds 2D & Text Acceleration Adds Video Lite, 2D & Video Examples New Host interfaces: new CPUs, PCI, Serial Interfaces, etc New memory interfaces, or display interfaces New s adding new functionality must contact you to perform them. Multiple displays or non-standard displays. Core I/O Signals The core signal I/O has not been fixed to specific device pins to provide flexibility for interfacing with user logic (except for example reset- and clock-pins). Descriptions of signal I/O for an example application with 32-bit OPB host interface; 32-bit SDRAM memory interface and LVDS Display interface are provided in Table 2. May 7,

6 Table 2: Core I/O Signals. Signal Signal Direction Description Signal Group (optional) Clocks and reset reset_n Input Global reset Clk Input Core clock for logic clk_180 Input Inverted core clock for logic clk_mem Input Memory controller clock clk_lvdsp Input Serial LVDS interface data clock clk_lvdsn Input Inverted serial LVDS interface data clock OPB Host Interface OPB_Abus[31..0] Input Address OPB_Dbus[31..0] Input Data to BADGE OPB_RNW Input Read / Write OPB_BE[3..0] Input Byte Enables OPB_select Input Select OPB_seqAddr Input Sequential Address sl_dbus[31..0] Output Data to CPU sl_xferack Output Transfer Acknowledge sl_errack Output Error Acknowledge sl_retry Output Retry sl_toutsup Output Time out supress Irq Output Interrupt request to host CPU LVDS Display Interface TXclkp Output Display Clock positive TXclkn Output Display Clock negative TXp[3..0] Output Data positive TXn[3..0] Output Data negative Memory Interface MEM_Clk Output Memory clock MEM_Cke Output Clock Enable MEM_Cs_n Output Chip Select MEM_Ras_n Output Row Address Strobe MEM_Cas_n Output Column Address Strobe MEM_We_n Output Write Enable MEM_Address[11..0] Output Address MEM_Bank[1..0] Output Bank Select MEM_Data[31..0] Input/Output Data MEM_Pixelmask[1..0] Output Pixel Mask Other Phaseshift_enable Output Memory clock phase shift change enable (Optional) Phaseshift_incdec Output Memory clock phase shift increase / decrease (Optional) 6 May 7, 2008

7 BitSim Verification Methods The BADGE core has been closely validated with VHDL test benches, verifying the complete functionality. BADGE has been in used in Xilinx devices in customer products since Recommended Design Experience User should be familiar with basic HDL design methodology as well as Xilinx design flow including instantiation of Xilinx netlist, implementation and simulation. Available Support Products For application without an operating system the BADGE API can be used. This provides a C-level interface to BADGE. BADGE device drivers for Windows CE and Linux are available. BADGE SW Library provides a good starting point for driver development. Ordering Information This product is available directly from Xilinx Alliance Program member BitSim. Please contact BitSim for pricing and additional information about this product using the contact information on the front page of this datasheet. Related Information Xilinx Programmable Logic For information on Xilinx programmable logic or development system software, contact your local Xilinx sales office, or: Xilinx, Inc Logic Drive San Jose, CA Phone: Fax: URL: May 7,

SDLC Controller. Documentation. Design File Formats. Verification

SDLC Controller. Documentation. Design File Formats. Verification January 15, 2004 Product Specification 11 Stonewall Court Woodcliff Lake, NJ 07677 USA Phone: +1-201-391-8300 Fax: +1-201-391-8694 E-mail: info@cast-inc.com URL: www.cast-inc.com Features AllianceCORE

More information

Solomon Systech Image Processor for Car Entertainment Application

Solomon Systech Image Processor for Car Entertainment Application Company: Author: Piony Yeung Title: Technical Marketing Engineer Introduction Mobile video has taken off recently as a fun, viable, and even necessary addition to in-car entertainment. Several new SUV

More information

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor Von der Hardware zur Software in FPGAs mit Embedded Prozessoren Alexander Hahn Senior Field Application Engineer Lattice Semiconductor AGENDA Overview Mico32 Embedded Processor Development Tool Chain HW/SW

More information

USB - FPGA MODULE (PRELIMINARY)

USB - FPGA MODULE (PRELIMINARY) DLP-HS-FPGA LEAD-FREE USB - FPGA MODULE (PRELIMINARY) APPLICATIONS: - Rapid Prototyping - Educational Tool - Industrial / Process Control - Data Acquisition / Processing - Embedded Processor FEATURES:

More information

TIP-VBY1HS Data Sheet

TIP-VBY1HS Data Sheet Preliminary DATA SHEET Preliminary TIP-VBY1HS Data Sheet V-by-One HS Standard IP for Xilinx FPGA Rev.1.00 Tokyo Electron Device Ltd. Rev1.00 1 Revision History The following table shows the revision history

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

21152 PCI-to-PCI Bridge

21152 PCI-to-PCI Bridge Product Features Brief Datasheet Intel s second-generation 21152 PCI-to-PCI Bridge is fully compliant with PCI Local Bus Specification, Revision 2.1. The 21152 is pin-to-pin compatible with Intel s 21052,

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Kirchhoff Institute for Physics Heidelberg

Kirchhoff Institute for Physics Heidelberg Kirchhoff Institute for Physics Heidelberg Norbert Abel FPGA: (re-)configuration and embedded Linux 1 Linux Front-end electronics based on ADC and digital signal processing Slow control implemented as

More information

Open Flow Controller and Switch Datasheet

Open Flow Controller and Switch Datasheet Open Flow Controller and Switch Datasheet California State University Chico Alan Braithwaite Spring 2013 Block Diagram Figure 1. High Level Block Diagram The project will consist of a network development

More information

LogiCORE IP AXI Performance Monitor v2.00.a

LogiCORE IP AXI Performance Monitor v2.00.a LogiCORE IP AXI Performance Monitor v2.00.a Product Guide Table of Contents IP Facts Chapter 1: Overview Target Technology................................................................. 9 Applications......................................................................

More information

Lesson 10: Video-Out Interface

Lesson 10: Video-Out Interface Lesson 10: Video-Out Interface 1. Introduction The Altera University Program provides a number of hardware controllers, called cores, to control the Video Graphics Array (VGA) Digital-to-Analog Converter

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Chapter 2 Logic Gates and Introduction to Computer Architecture

Chapter 2 Logic Gates and Introduction to Computer Architecture Chapter 2 Logic Gates and Introduction to Computer Architecture 2.1 Introduction The basic components of an Integrated Circuit (IC) is logic gates which made of transistors, in digital system there are

More information

Note monitors controlled by analog signals CRT monitors are controlled by analog voltage. i. e. the level of analog signal delivered through the

Note monitors controlled by analog signals CRT monitors are controlled by analog voltage. i. e. the level of analog signal delivered through the DVI Interface The outline: The reasons for digital interface of a monitor the transfer from VGA to DVI. DVI v. analog interface. The principles of LCD control through DVI interface. The link between DVI

More information

Post-Configuration Access to SPI Flash Memory with Virtex-5 FPGAs Author: Daniel Cherry

Post-Configuration Access to SPI Flash Memory with Virtex-5 FPGAs Author: Daniel Cherry Application Note: Virtex-5 Family XAPP1020 (v1.0) June 01, 2009 Post-Configuration Access to SPI Flash Memory with Virtex-5 FPGAs Author: Daniel Cherry Summary Virtex -5 FPGAs support direct configuration

More information

ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-17: Memory organisation, and types of memory

ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-17: Memory organisation, and types of memory ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-17: Memory organisation, and types of memory 1 1. Memory Organisation 2 Random access model A memory-, a data byte, or a word, or a double

More information

Design and Verification of Nine port Network Router

Design and Verification of Nine port Network Router Design and Verification of Nine port Network Router G. Sri Lakshmi 1, A Ganga Mani 2 1 Assistant Professor, Department of Electronics and Communication Engineering, Pragathi Engineering College, Andhra

More information

Introduction to graphics and LCD technologies. NXP Product Line Microcontrollers Business Line Standard ICs

Introduction to graphics and LCD technologies. NXP Product Line Microcontrollers Business Line Standard ICs Introduction to graphics and LCD technologies NXP Product Line Microcontrollers Business Line Standard ICs Agenda Passive and active LCD technologies How LCDs work, STN and TFT differences How data is

More information

PCB Project (*.PrjPcb)

PCB Project (*.PrjPcb) Project Essentials Summary The basis of every design captured in Altium Designer is the project. This application note outlines the different kinds of projects, techniques for working on projects and how

More information

Getting Started with RemoteFX in Windows Embedded Compact 7

Getting Started with RemoteFX in Windows Embedded Compact 7 Getting Started with RemoteFX in Windows Embedded Compact 7 Writers: Randy Ocheltree, Ryan Wike Technical Reviewer: Windows Embedded Compact RDP Team Applies To: Windows Embedded Compact 7 Published: January

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

Quadro Professional Drivers Quadro FX 3800/4800/5800 and Quadro CX SDI User s Guide. Version 2.0

Quadro Professional Drivers Quadro FX 3800/4800/5800 and Quadro CX SDI User s Guide. Version 2.0 Quadro Professional Drivers Quadro FX 3800/4800/5800 and Quadro CX SDI User s Guide Version 2.0 NVIDIA Quadro FX 3800/4800/5800 and Quadro CX SDI User s Guide v2.0 Published by 2701 San Tomas Expressway

More information

ARM Cortex -A8 SBC with MIPI CSI Camera and Spartan -6 FPGA SBC1654

ARM Cortex -A8 SBC with MIPI CSI Camera and Spartan -6 FPGA SBC1654 ARM Cortex -A8 SBC with MIPI CSI Camera and Spartan -6 FPGA SBC1654 Features ARM Cortex-A8 processor, 800MHz Xilinx Spartan-6 FPGA expands vision processing capabilities Dual MIPI CSI-2 CMOS camera ports,

More information

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview Technical Note TN-29-06: NAND Flash Controller on Spartan-3 Overview Micron NAND Flash Controller via Xilinx Spartan -3 FPGA Overview As mobile product capabilities continue to expand, so does the demand

More information

Atmel SMART ARM Core-based Embedded Microprocessors

Atmel SMART ARM Core-based Embedded Microprocessors Atmel SMART ARM Core-based Embedded Microprocessors High Performance, Power Efficient, Easy to Use Atmel SMART SAMA5 ARM Cortex-A5 MPUs Core Sub-System Memory Connectivity Device Name Core VFPU / NEON

More information

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition A Tutorial Approach James O. Hamblen Georgia Institute of Technology Michael D. Furman Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS Boston

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

Video and Image Processing Design Example

Video and Image Processing Design Example Video and Image Processing Design Example AN-427-10.2 Application Note The Altera Video and Image Processing Design Example demonstrates the following items: A framework for rapid development of video

More information

MONOCHROME RGB YCbCr VIDEO DIGITIZER

MONOCHROME RGB YCbCr VIDEO DIGITIZER Active Silicon SNAPPER-PMC-8/24 MONOCHROME RGB YCbCr VIDEO DIGITIZER High quality analogue video acquisition board with square pixel sampling for CCIR, EIA (RS-170) standards, and nonstandard video formats.

More information

Source-Synchronous Serialization and Deserialization (up to 1050 Mb/s) Author: NIck Sawyer

Source-Synchronous Serialization and Deserialization (up to 1050 Mb/s) Author: NIck Sawyer Application Note: Spartan-6 FPGAs XAPP1064 (v1.2) November 19, 2013 Source-Synchronous Serialization and Deserialization (up to 1050 Mb/s) Author: NIck Sawyer Summary Spartan -6 devices contain input SerDes

More information

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces White Paper Introduction The DDR3 SDRAM memory architectures support higher bandwidths with bus rates of 600 Mbps to 1.6 Gbps (300 to 800 MHz), 1.5V operation for lower power, and higher densities of 2

More information

ontroller LSI with Built-in High- Performance Graphic Functions for Automotive Applications

ontroller LSI with Built-in High- Performance Graphic Functions for Automotive Applications C ontroller LSI with Built-in High- Performance Graphic Functions for Automotive Applications 1-chip solution for color display, video input and meter control with built-in highperformance CPU core FR81S

More information

Touchstone -A Fresh Approach to Multimedia for the PC

Touchstone -A Fresh Approach to Multimedia for the PC Touchstone -A Fresh Approach to Multimedia for the PC Emmett Kilgariff Martin Randall Silicon Engineering, Inc Presentation Outline Touchstone Background Chipset Overview Sprite Chip Tiler Chip Compressed

More information

Achieving High Performance DDR3 Data Rates

Achieving High Performance DDR3 Data Rates WP383 (v1.2) August 29, 2013 Achieving High Performance DDR3 Data Rates By: Adrian Cosoroaba Programmable devices frequently require an external memory interface to buffer data that exceeds the capacity

More information

Camera Sensor Driver Development And Integration

Camera Sensor Driver Development And Integration Camera Sensor Driver Development And Integration Introduction Camera enables multimedia on phones. It is going to be an important human machine interface, adding to augmented reality possibilities on embedded

More information

COMPUTER HARDWARE. Input- Output and Communication Memory Systems

COMPUTER HARDWARE. Input- Output and Communication Memory Systems COMPUTER HARDWARE Input- Output and Communication Memory Systems Computer I/O I/O devices commonly found in Computer systems Keyboards Displays Printers Magnetic Drives Compact disk read only memory (CD-ROM)

More information

Figure 1 FPGA Growth and Usage Trends

Figure 1 FPGA Growth and Usage Trends White Paper Avoiding PCB Design Mistakes in FPGA-Based Systems System design using FPGAs is significantly different from the regular ASIC and processor based system design. In this white paper, we will

More information

Simplifying Embedded Hardware and Software Development with Targeted Reference Designs

Simplifying Embedded Hardware and Software Development with Targeted Reference Designs White Paper: Spartan-6 and Virtex-6 FPGAs WP358 (v1.0) December 8, 2009 Simplifying Embedded Hardware and Software Development with Targeted Reference Designs By: Navanee Sundaramoorthy FPGAs are becoming

More information

Embedded Component Based Programming with DAVE 3

Embedded Component Based Programming with DAVE 3 Embedded Component Based Programming with DAVE 3 By Mike Copeland, Infineon Technologies Introduction Infineon recently introduced the XMC4000 family of ARM Cortex -M4F processor-based MCUs for industrial

More information

Optimising the resource utilisation in high-speed network intrusion detection systems.

Optimising the resource utilisation in high-speed network intrusion detection systems. Optimising the resource utilisation in high-speed network intrusion detection systems. Gerald Tripp www.kent.ac.uk Network intrusion detection Network intrusion detection systems are provided to detect

More information

Networking Remote-Controlled Moving Image Monitoring System

Networking Remote-Controlled Moving Image Monitoring System Networking Remote-Controlled Moving Image Monitoring System First Prize Networking Remote-Controlled Moving Image Monitoring System Institution: Participants: Instructor: National Chung Hsing University

More information

ChipScope Pro 11.4 Software and Cores

ChipScope Pro 11.4 Software and Cores ChipScope Pro 11.4 Software and Cores User Guide Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs

More information

Switch Fabric Implementation Using Shared Memory

Switch Fabric Implementation Using Shared Memory Order this document by /D Switch Fabric Implementation Using Shared Memory Prepared by: Lakshmi Mandyam and B. Kinney INTRODUCTION Whether it be for the World Wide Web or for an intra office network, today

More information

MP3 Player CSEE 4840 SPRING 2010 PROJECT DESIGN. zl2211@columbia.edu. ml3088@columbia.edu

MP3 Player CSEE 4840 SPRING 2010 PROJECT DESIGN. zl2211@columbia.edu. ml3088@columbia.edu MP3 Player CSEE 4840 SPRING 2010 PROJECT DESIGN Zheng Lai Zhao Liu Meng Li Quan Yuan zl2215@columbia.edu zl2211@columbia.edu ml3088@columbia.edu qy2123@columbia.edu I. Overview Architecture The purpose

More information

Below is a diagram explaining the data packet and the timing related to the mouse clock while receiving a byte from the PS-2 mouse:

Below is a diagram explaining the data packet and the timing related to the mouse clock while receiving a byte from the PS-2 mouse: PS-2 Mouse: The Protocol: For out mini project we designed a serial port transmitter receiver, which uses the Baud rate protocol. The PS-2 port is similar to the serial port (performs the function of transmitting

More information

Atmel Norway 2005. XMEGA Introduction

Atmel Norway 2005. XMEGA Introduction Atmel Norway 005 XMEGA Introduction XMEGA XMEGA targets Leadership on Peripheral Performance Leadership in Low Power Consumption Extending AVR market reach XMEGA AVR family 44-100 pin packages 16K 51K

More information

Preliminary Draft May 19th 1992. Video Subsystem

Preliminary Draft May 19th 1992. Video Subsystem Video Subsystem 2 Preliminary Draft May 19th 1992 Video Subsystem Section 1. Introduction....................... 1-1 Video Subsystem.......................... 1-2 Section 2. VGA Function......................

More information

Technical Brief. Quadro FX 5600 SDI and Quadro FX 4600 SDI Graphics to SDI Video Output. April 2008 TB-03813-001_v01

Technical Brief. Quadro FX 5600 SDI and Quadro FX 4600 SDI Graphics to SDI Video Output. April 2008 TB-03813-001_v01 Technical Brief Quadro FX 5600 SDI and Quadro FX 4600 SDI Graphics to SDI Video Output April 2008 TB-03813-001_v01 Quadro FX 5600 SDI and Quadro FX 4600 SDI Graphics to SDI Video Output Table of Contents

More information

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Computers. Hardware. The Central Processing Unit (CPU) CMPT 125: Lecture 1: Understanding the Computer

Computers. Hardware. The Central Processing Unit (CPU) CMPT 125: Lecture 1: Understanding the Computer Computers CMPT 125: Lecture 1: Understanding the Computer Tamara Smyth, tamaras@cs.sfu.ca School of Computing Science, Simon Fraser University January 3, 2009 A computer performs 2 basic functions: 1.

More information

AES1. Ultra-Compact Advanced Encryption Standard Core. General Description. Base Core Features. Symbol. Applications

AES1. Ultra-Compact Advanced Encryption Standard Core. General Description. Base Core Features. Symbol. Applications General Description The AES core implements Rijndael encoding and decoding in compliance with the NIST Advanced Encryption Standard. Basic core is very small (start at 800 Actel tiles). Enhanced versions

More information

Nutaq. PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET. nutaq.com MONTREAL QUEBEC

Nutaq. PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET. nutaq.com MONTREAL QUEBEC Nutaq PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET QUEBEC I MONTREAL I N E W YO R K I nutaq.com Nutaq PicoDigitizer 125-Series The PicoDigitizer 125-Series

More information

LLRF. Digital RF Stabilization System

LLRF. Digital RF Stabilization System LLRF Digital RF Stabilization System Many instruments. Many people. Working together. Stability means knowing your machine has innovative solutions. For users, stability means a machine achieving its full

More information

Table 1: Address Table

Table 1: Address Table DDR SDRAM DIMM D32PB12C 512MB D32PB1GJ 1GB For the latest data sheet, please visit the Super Talent Electronics web site: www.supertalentmemory.com Features 184-pin, dual in-line memory module (DIMM) Fast

More information

Lesson 10:DESIGN PROCESS EXAMPLES Automatic Chocolate vending machine, smart card and digital camera

Lesson 10:DESIGN PROCESS EXAMPLES Automatic Chocolate vending machine, smart card and digital camera Lesson 10:DESIGN PROCESS EXAMPLES Automatic Chocolate vending machine, smart card and digital camera 1 Automatic Chocolate Vending Machine (ACVM) 2 Diagrammatic representation of ACVM Keypad for user Interface

More information

Manchester Encoder-Decoder for Xilinx CPLDs

Manchester Encoder-Decoder for Xilinx CPLDs Application Note: CoolRunner CPLDs R XAPP339 (v.3) October, 22 Manchester Encoder-Decoder for Xilinx CPLDs Summary This application note provides a functional description of VHDL and Verilog source code

More information

MicroBlaze Debug Module (MDM) v3.2

MicroBlaze Debug Module (MDM) v3.2 MicroBlaze Debug Module (MDM) v3.2 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

Using Altera MAX Series as Microcontroller I/O Expanders

Using Altera MAX Series as Microcontroller I/O Expanders 2014.09.22 Using Altera MAX Series as Microcontroller I/O Expanders AN-265 Subscribe Many microcontroller and microprocessor chips limit the available I/O ports and pins to conserve pin counts and reduce

More information

White Paper Streaming Multichannel Uncompressed Video in the Broadcast Environment

White Paper Streaming Multichannel Uncompressed Video in the Broadcast Environment White Paper Multichannel Uncompressed in the Broadcast Environment Designing video equipment for streaming multiple uncompressed video signals is a new challenge, especially with the demand for high-definition

More information

3.2 inch QVGA TFT Color LCD User s Guide Version 1 & 2

3.2 inch QVGA TFT Color LCD User s Guide Version 1 & 2 3.2 inch QVGA TFT Color LCD - User s Guide 3.2 inch QVGA TFT Color LCD User s Guide Version 1 & 2 Give graphics and to your application! EA2-USG-0701 v2.1 Rev A 3.2 inch QVGA TFT Color LCD - User s Guide

More information

Arbitration and Switching Between Bus Masters

Arbitration and Switching Between Bus Masters February 2010 Introduction Reference Design RD1067 Since the development of the system bus that allows multiple devices to communicate with one another through a common channel, bus arbitration has been

More information

The Advanced JTAG Bridge. Nathan Yawn nathan.yawn@opencores.org 05/12/09

The Advanced JTAG Bridge. Nathan Yawn nathan.yawn@opencores.org 05/12/09 The Advanced JTAG Bridge Nathan Yawn nathan.yawn@opencores.org 05/12/09 Copyright (C) 2008-2009 Nathan Yawn Permission is granted to copy, distribute and/or modify this document under the terms of the

More information

ACE: Illustrator CC Exam Guide

ACE: Illustrator CC Exam Guide Adobe Training Services Exam Guide ACE: Illustrator CC Exam Guide Adobe Training Services provides this exam guide to help prepare partners, customers, and consultants who are actively seeking accreditation

More information

Freescale Semiconductor, I

Freescale Semiconductor, I nc. Application Note 6/2002 8-Bit Software Development Kit By Jiri Ryba Introduction 8-Bit SDK Overview This application note describes the features and advantages of the 8-bit SDK (software development

More information

Nios II-Based Intellectual Property Camera Design

Nios II-Based Intellectual Property Camera Design Nios II-Based Intellectual Property Camera Design Third Prize Nios II-Based Intellectual Property Camera Design Institution: Participants: Instructor: Xidian University Jinbao Yuan, Mingsong Chen, Yingzhao

More information

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1 VHDL Short Course Module 1 Introduction Jim Duckworth ECE Department, WPI Jim Duckworth, WPI 1 Topics Background to VHDL Introduction to language Programmable Logic Devices CPLDs and FPGAs FPGA architecture

More information

PLL Dynamic Reconfiguration Author: Karl Kurbjun and Carl Ribbing

PLL Dynamic Reconfiguration Author: Karl Kurbjun and Carl Ribbing Application Note: Spartan-6 Family XAPP7 (v1.1) October 6, 011 PLL Dynamic Reconfiguration Author: Karl Kurbjun and Carl Ribbing Summary This application note provides a method to dynamically change the

More information

International Journal of Advancements in Research & Technology, Volume 2, Issue3, March -2013 1 ISSN 2278-7763

International Journal of Advancements in Research & Technology, Volume 2, Issue3, March -2013 1 ISSN 2278-7763 International Journal of Advancements in Research & Technology, Volume 2, Issue3, March -2013 1 FPGA IMPLEMENTATION OF HARDWARE TASK MANAGEMENT STRATEGIES Assistant professor Sharan Kumar Electronics Department

More information

SABRE Lite Development Kit

SABRE Lite Development Kit SABRE Lite Development Kit Freescale i.mx 6Quad ARM Cortex A9 processor at 1GHz per core 1GByte of 64-bit wide DDR3 @ 532MHz UART, USB, Ethernet, CAN, SATA, SD, JTAG, I2C Three Display Ports (RGB, LVDS

More information

White Paper. Real-time Capabilities for Linux SGI REACT Real-Time for Linux

White Paper. Real-time Capabilities for Linux SGI REACT Real-Time for Linux White Paper Real-time Capabilities for Linux SGI REACT Real-Time for Linux Abstract This white paper describes the real-time capabilities provided by SGI REACT Real-Time for Linux. software. REACT enables

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

Obj: Sec 1.0, to describe the relationship between hardware and software HW: Read p.2 9. Do Now: Name 3 parts of the computer.

Obj: Sec 1.0, to describe the relationship between hardware and software HW: Read p.2 9. Do Now: Name 3 parts of the computer. C1 D1 Obj: Sec 1.0, to describe the relationship between hardware and software HW: Read p.2 9 Do Now: Name 3 parts of the computer. 1 Hardware and Software Hardware the physical, tangible parts of a computer

More information

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic NCNU_2013_DD_7_1 Chapter 7 Memory and Programmable Logic 71I 7.1 Introduction ti 7.2 Random Access Memory 7.3 Memory Decoding 7.5 Read Only Memory 7.6 Programmable Logic Array 77P 7.7 Programmable Array

More information

Enhancing High-Speed Telecommunications Networks with FEC

Enhancing High-Speed Telecommunications Networks with FEC White Paper Enhancing High-Speed Telecommunications Networks with FEC As the demand for high-bandwidth telecommunications channels increases, service providers and equipment manufacturers must deliver

More information

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Design of a High Speed Communications Link Using Field Programmable Gate Arrays Customer-Authored Application Note AC103 Design of a High Speed Communications Link Using Field Programmable Gate Arrays Amy Lovelace, Technical Staff Engineer Alcatel Network Systems Introduction A communication

More information

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Embedded Processor Hardware Design January 29 th 2015. VIVADO TUTORIAL 1 Table of Contents Requirements... 3 Part 1:

More information

Block 3 Size 0 KB 0 KB 16KB 32KB. Start Address N/A N/A F4000H F0000H. Start Address FA000H F8000H F8000H F8000H. Block 2 Size 8KB 16KB 16KB 16KB

Block 3 Size 0 KB 0 KB 16KB 32KB. Start Address N/A N/A F4000H F0000H. Start Address FA000H F8000H F8000H F8000H. Block 2 Size 8KB 16KB 16KB 16KB APPLICATION NOTE M16C/26 1.0 Abstract The following article describes using a synchronous serial port and the FoUSB (Flash-over-USB ) Programmer application to program the user flash memory of the M16C/26

More information

Data Acquisition Module with I2C interface «I2C-FLEXEL» User s Guide

Data Acquisition Module with I2C interface «I2C-FLEXEL» User s Guide Data Acquisition Module with I2C interface «I2C-FLEXEL» User s Guide Sensors LCD Real Time Clock/ Calendar DC Motors Buzzer LED dimming Relay control I2C-FLEXEL PS2 Keyboards Servo Motors IR Remote Control

More information

CAPTAN: A Hardware Architecture for Integrated Data Acquisition, Control, and Analysis for Detector Development

CAPTAN: A Hardware Architecture for Integrated Data Acquisition, Control, and Analysis for Detector Development FERMILAB-PUB-08-527-CD CAPTAN: A Hardware Architecture for Integrated Data Acquisition, Control, and Analysis for Detector Development Marcos Turqueti, Ryan A. Rivera, Alan Prosser, Jeffry Andresen and

More information

RTL Technology and Schematic Viewers

RTL Technology and Schematic Viewers RTL Technology and Schematic Viewers Tutorial [optional] [optional] Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

A Computer Vision System on a Chip: a case study from the automotive domain

A Computer Vision System on a Chip: a case study from the automotive domain A Computer Vision System on a Chip: a case study from the automotive domain Gideon P. Stein Elchanan Rushinek Gaby Hayun Amnon Shashua Mobileye Vision Technologies Ltd. Hebrew University Jerusalem, Israel

More information

OpenSPARC T1 Processor

OpenSPARC T1 Processor OpenSPARC T1 Processor The OpenSPARC T1 processor is the first chip multiprocessor that fully implements the Sun Throughput Computing Initiative. Each of the eight SPARC processor cores has full hardware

More information

MICROPROCESSOR AND MICROCOMPUTER BASICS

MICROPROCESSOR AND MICROCOMPUTER BASICS Introduction MICROPROCESSOR AND MICROCOMPUTER BASICS At present there are many types and sizes of computers available. These computers are designed and constructed based on digital and Integrated Circuit

More information

TVP5146 SCART and OSD

TVP5146 SCART and OSD Application Report SLEA016 - October 2003 TVP5146 SCART and OSD HPA Digital Audio Video ABSTRACT The TVP5146 video decoder provides support for a SCART interface, which is commonly used in the European

More information

AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD)

AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD) AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD) Samuel Lakeou, University of the District of Columbia Samuel Lakeou received a BSEE (1974) and a MSEE (1976)

More information

Digital Systems Design. VGA Video Display Generation

Digital Systems Design. VGA Video Display Generation Digital Systems Design Video Signal Generation for the Altera DE Board Dr. D. J. Jackson Lecture 12-1 VGA Video Display Generation A VGA signal contains 5 active signals Two TTL compatible signals for

More information

Universal Flash Storage: Mobilize Your Data

Universal Flash Storage: Mobilize Your Data White Paper Universal Flash Storage: Mobilize Your Data Executive Summary The explosive growth in portable devices over the past decade continues to challenge manufacturers wishing to add memory to their

More information

White Paper Using FPGAs to Render Graphics and Drive LCD Interfaces

White Paper Using FPGAs to Render Graphics and Drive LCD Interfaces White Paper Using FPGAs to Render Graphics and Drive LCD s This paper describes the use of FPGAs to add a LCD and GUI display to any embedded system. Unlike fixed processor device implementations, this

More information

Serial port interface for microcontroller embedded into integrated power meter

Serial port interface for microcontroller embedded into integrated power meter Serial port interface for microcontroller embedded into integrated power meter Mr. Borisav Jovanović, Prof. dr. Predrag Petković, Prof. dr. Milunka Damnjanović, Faculty of Electronic Engineering Nis, Serbia

More information

Features. DDR SODIMM Product Datasheet. Rev. 1.0 Oct. 2011

Features. DDR SODIMM Product Datasheet. Rev. 1.0 Oct. 2011 Features 200pin, unbuffered small outline dual in-line memory module (SODIMM) Fast data transfer rates: PC-2100, PC-2700, PC3-3200 Single or Dual rank 256MB(32Megx64), 512MB (64Meg x 64), 1GB(128 Meg x

More information

CAN bus board. www.matrixmultimedia.com EB018

CAN bus board. www.matrixmultimedia.com EB018 CAN bus board www.matrixmultimedia.com EB018 Contents About this document 3 Board layout 3 General information 4 Circuit description 5 Protective cover 6 Circuit diagram 7 2 Copyright About this document

More information

Low power GPUs a view from the industry. Edvard Sørgård

Low power GPUs a view from the industry. Edvard Sørgård Low power GPUs a view from the industry Edvard Sørgård 1 ARM in Trondheim Graphics technology design centre From 2006 acquisition of Falanx Microsystems AS Origin of the ARM Mali GPUs Main activities today

More information

Adobe Certified Expert Program

Adobe Certified Expert Program Adobe Certified Expert Program Product Proficiency Exam Bulletin Adobe Photoshop CS4 Exam # 9A0-094 ACE Certification Checklist The checklist below will help guide you through the process of obtaining

More information

The 104 Duke_ACC Machine

The 104 Duke_ACC Machine The 104 Duke_ACC Machine The goal of the next two lessons is to design and simulate a simple accumulator-based processor. The specifications for this processor and some of the QuartusII design components

More information