Signal Integrity: Problems and Solutions

Size: px
Start display at page:

Download "Signal Integrity: Problems and Solutions"

Transcription

1 Slide -1 Signal Integrity: Problems and Solutions Dr. Eric Bogatin President Bogatin Enterprises (copies of the presentation are available for download on the web site) Presented at Lockheed, Sunnyvale, CA, March 1, 2000 Slide -2 Overview What is Signal Integrity? Why is it growing in importance? What can you do about it?

2 Signal Integrity and Interconnect Design Slide -3 How the electrical properties of the interconnects screw up the beautiful, pristine signals from the chips Slide -4 The Confusing Mix of Signal Integrity Problems TERMINATIONS LINE DELAY EMISSIONS ATTENUATION PARASITICS EMI/EMC CAPACITANCE LOADED LINES NON-MONOTONIC EDGES SUSCEPTABILITY POWER AND GROUND BOUNCE GROUND DISTRIBUTION SKIN DEPTH LOSSY LINES IR DROP INDUCTANCE CRITICAL NET RINGING CROSSTALK SIGNAL INTEGRITY RETURN CURRENT PATH TRANSMISSION LINES IMPEDANCE DISCONTINUITIES DELTA I NOISE UNDERSHOOT, OVERSHOOT RC DELAY STUB LENGTHS GAPS IN PLANES REFLECTIONS DISPERSION

3 Slide -5 The Four High Speed Problems 1. Signal quality of one net: reflections and distortions from impedance discontinuities in the signal or return path 2. Cross talk between multiple nets: with ideal return paths, and without (SSO) 3. Rail collapse in the power and ground distribution network 4. EMI from a component or the system Slide -6 Signal Quality on One Net: Distorted by the Interconnect Initial output signal Signal distorted by interconnect Simulated with Hyperlynx

4 Slide -7 Cross Talk Between Two Adjacent Conductors- Ideal Return Path Near end Active line far end 50W The far end noise is ~ 10x larger than the near end noise Near end Far end rise time ~ 100 psec, TD ~ 1 nsec (HP High speed scope and TDR) Slide -8 Conceptual Origin of SSO Noise On Chip I charge I discharge Switching lines Quiet data line V CC V SS L Bonding L Bonding GND Power common lead inductance 1991 Integrated Circuit Engineering Corporation 15836

5 Slide -9 Simple Example of Rail Collapse 100 nf To regulator C decoupling Current On Current Off Rail collapse: DV ~ - di/dt V dd nominal V dd rail collapse Source: National Semiconductor Slide -10 Radiated Emissions and Power and Ground Routing

6 Slide -11 Two Classes of High Speed Problems Timing: setup, hold, propagation delay, skew Scales with decreasing clock period Electrical Noise: signal integrity and EMI Scales with decreasing rise time di dt dv dt f, f 2 Slide -12 it s the rise time, On Chip I charge I discharge Switching data lines Quiet data line V CC V SS L Bonding GND Power L Bonding Integrated Circuit Engineering Corporation SSO noise ~ N x L t common lead inductance N = number of switching leads per ground leads L = lead inductance or lead length t = rise time

7 Slide -13 Shorter Delays Mean Shorter Clock Periods, Higher Clock Frequencies Digital Clock Frequencies are Increasing: doubling every 2 years! Clock Frequency (MHz) 1000 Clock frequency of Intel Processors Introduction Year High speed usually refers to increasing clock frequency Slide -14 Increase in Clock Frequencies 3500 Clock Frequency (MHz) on-chip on-board Year Source: SIA Roadmap

8 Slide -15 Rise Times Are Loosely Related to Clock Frequency 10 nsec period 1 nsec rise time Approximate Rise Time (nsec) ,000 10,000 τ ~ F clock Clock Frequency (MHz) What is the consequence of higher speed? Slide -16 The Driving Force Fueling the Electronics Revolution: Gate Length Feature Size Reduction 50% reduction every 4 years

9 Slide -17 Transistors Switch Faster As Channel Length Shrinks Shorter channel length means: ->> shorter delay ->> shorter rise time in out What can happen to the clock period and clock frequency? Slide -18 Situation Analysis Clock frequency will get faster Rise times for every chip will get shorter SI problems will be more significant Design cycle times will be decreasing Conclusion: Getting new products to market on time will be harder. Solution: A new design methodology is needed.

10 Slide -19 The Old Design Strategy Guess a design Hope it works Build it Test it Try to Fix it Ship it Slide -20 Details of the Three Design Approaches The earlier in the design cycle problems can be identified and solved, the lower the development cost and the faster time to market. Design by virtual iteration Correct by design Design by correcting Source: G. Doyle, Mentor Graphics

11 R1 50 V1 PULSE L1 1U V(3) VOUT C1 30P 4 Q2 QN R4 680 R2 5K 8 Q10 QN3906 V2 10 R3 10 V3 10 V(7) VEMITTER X1 WIRE 6 10 C2 7P V(10) VLOAD Clk1 Lpower Lgnd Gate1 Cpin Lpin PCB #1 Lconn Backplane Lconn PCB #2 τ τ Zo, D Zo, D Zo, Cconn Cconn τ D Lpin Cpin Clk1 Gate2 Lpower Lgnd Slide -21 Two Critical Processes for Virtual Design and Test Modeling: Translating the physical world into an equivalent electrical circuit model (Schematic) Simulation: Predicting voltage/current waveforms based on the circuit behavior Slide -22 Where do Models Come From? 2.0 Calculations: (03, 06) Rules of thumb Analytic approximation Parasitic extraction numerical tools: field solvers Inductance (nh) M24a:i24a1 M16:i161 M09:i091 M01:i011 Measurements: (06) Impedance analyzer (LCZ) Network Analyzer (NA) Time Domain Reflectometer (TDR) Courtesy of TDA Systems

12 Slide -23 Two Tools for Simulating Circuits SPICE: Simulation Program with Integrated Circuit Emphasis PSPICE from OrCAD/Cadence IsSPICE from Intusoft Advanced Design System (ADS) from HP Eesof Maxwell SPICE from Ansoft Micro-CAP from Spectrum HSPICE from Avant! IBIS based simulators: Input/output Buffer Interface Specification Hyperlynx (Pads) Veribest/Mentor Graphics Zukan Redac Viewlogic Interconnectix (Mentor Graphics) Slide -24 Design Principles for Good SI Noise Categories Signal Quality Cross talk Rail Collapse EMI Design Principles Signals should see the same impedance through all interconnects Keep spacing of traces greater than a minimum value, minimize mutual inductance of non ideal returns Minimize the impedance of the power and ground path Minimize bandwidth, minimize ground impedance and shield When are you done? How much reduction is enough?

13 Slide -25 just follow these RULES Cost factors: Performance (meet specs) time money risk Slide -26 Design Tradeoffs Are Negotiated With a Budget Total voltage swing is 3.3v Within 500 mv, all the noise sources must be accounted for: An example: Noise Source Ringing/reflections Discontinuities Cross talk SSO noise Rail collapse Total* Margin * *dynamic effects important Allocated Budget 100mV 40mV 90mV 120mV 100mV 450mV ~50mV Rail collapse 22% SSO noise 27% Ringing 22% Cross talk 20% Discontinuities 9% In hi speed systems, keeping within the noise budget is HARD! The more accurately you can predict performance, the less margin needed and the higher the performance

14 Slide -27 The Most Important General Design Principles 1. Slow down edges 2. Minimize the length of all interconnects 3. Use low dielectric constant materials for signal layers 4. Use controlled impedance lines and terminate 5. Minimize loop mutual inductances between signal lines 6. Use continuous, closely spaced, adjacent power and ground planes #1 solution: slow down the edges Slide Ohm line Top view 2 short stubs (capacitive discontinuity) 150 mils spacing Longer the rise time, smaller the impact, or, 50 psec the shorter the discontinuity, the smaller the impact

15 Slide -29 Minimize Bandwidth Spread Spectrum Clock Generator (SSCG) Avoid resonance and clock harmonics At 2 GHz At 2.3 GHz Figure 28. Data from Ansoft HFSS showing the field distribution on and off resonance for a 208 lead QFP, excited at one lead. AVX Z chip: integrated RC, with low stray C #2 solution: shorter is better Slide -30 Reflections: Cross talk Rail collapse EMI Near end Mutual C, mutual L, scale with length Series L scales with length Radiated emission scales with length of current path

16 Slide -31 Terminations will Minimize Reflected Noise from the Ends Series R terminate RC terminate at far end, changing C Source: Analog Devices Slide -32 Avoid Stubs and Branches branches daisy chain (for 0.5 nsec edges, stub length < 0.5 inches)

17 Slide -33 Thin Power and Ground Layers Reduce Switching Noise Small daughtercard Conventional, 10 mil thick spacing, 2 plane pairs A Low-Cost Technique for Reducing the Simultaneous Switching Noise in Sub-Board Packaging Configurations, Koike and Kaizu, IEEE Trans CPMT part B vol 21(4) Nov 1998 p. 428 Thin layer, 2 mil thick, 4 plane pairs Slide -34 Reduced Switching Noise Reduces SSO noise Improves effectiveness of the decoupling caps

18 Slide -35 Reducing Emissions: Low Impedance Power and Ground Layers by Thinner Dielectric Slide -36 Avoid Splits in Return Path with split no split Archambeault, Bruce; Proper design of intentional splits in the ground reference plane of PC Boards to minimize emissions from I/O wires and cables, Proc IEEE conf on EMC, p. 768 Avoid all splits in the return path!

19 Slide -37 Unintentional Splits Figure 9. How a via field for a connector can create a gap. By decreasing the clearance hole diameter in the ground plane, a continuous return path can be provided. Decreasing size of clearance holes reduced radiated emissions Figure 10. Data from [10]. Left is the emission from a board with gaps under via fields- failing the Class A test. Right: the exact same board, but with smaller clearance holes and no gaps under traces- passing Class A test. Slide -38 The Design Strategy 1. Use design guidelines as design guidelines to shoot for 2. Estimate the magnitude of each effect and the benefit from a design or technology solution 3. Verify the models and simulations based on measurements of test vehicles and previous designs 4. Evaluate cost/performance trade offs 5. Keep optimizing until the noise budget is met 6. The earlier in the design cycle correct design decisions can be made, the shorter time to market and lower the development cost

20 Slide -39 SI Problems Apply Across ALL Interconnects BOLData Corp Courtesy of ICE Slide -40 There are two kinds of design engineers, those that have signal integrity problems, and those that will Good Luck!

PCB Design Conference - East Keynote Address EMC ASPECTS OF FUTURE HIGH SPEED DIGITAL DESIGNS

PCB Design Conference - East Keynote Address EMC ASPECTS OF FUTURE HIGH SPEED DIGITAL DESIGNS OOOO1 PCB Design Conference - East Keynote Address September 12, 2000 EMC ASPECTS OF FUTURE HIGH SPEED DIGITAL DESIGNS By Henry Ott Consultants Livingston, NJ 07039 (973) 992-1793 www.hottconsultants.com

More information

IDT80HSPS1616 PCB Design Application Note - 557

IDT80HSPS1616 PCB Design Application Note - 557 IDT80HSPS1616 PCB Design Application Note - 557 Introduction This document is intended to assist users to design in IDT80HSPS1616 serial RapidIO switch. IDT80HSPS1616 based on S-RIO 2.0 spec offers 5Gbps

More information

What is Characteristic Impedance?

What is Characteristic Impedance? Dr. Eric Bogatin 26235 W 110 th Terr. Olathe, KS 66061 Voice: 913-393-1305 Fax: 913-393-1306 eric@bogent.com www.bogatinenterprises.com Training for Signal Integrity and Interconnect Design Reprinted with

More information

AVX EMI SOLUTIONS Ron Demcko, Fellow of AVX Corporation Chris Mello, Principal Engineer, AVX Corporation Brian Ward, Business Manager, AVX Corporation

AVX EMI SOLUTIONS Ron Demcko, Fellow of AVX Corporation Chris Mello, Principal Engineer, AVX Corporation Brian Ward, Business Manager, AVX Corporation AVX EMI SOLUTIONS Ron Demcko, Fellow of AVX Corporation Chris Mello, Principal Engineer, AVX Corporation Brian Ward, Business Manager, AVX Corporation Abstract EMC compatibility is becoming a key design

More information

Guidelines for Designing High-Speed FPGA PCBs

Guidelines for Designing High-Speed FPGA PCBs Guidelines for Designing High-Speed FPGA PCBs February 2004, ver. 1.1 Application Note Introduction Over the past five years, the development of true analog CMOS processes has led to the use of high-speed

More information

PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide

PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide Application Note PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide Introduction This document explains how to design a PCB with Prolific PL-277x SuperSpeed USB 3.0 SATA Bridge

More information

Time and Frequency Domain Analysis for Right Angle Corners on Printed Circuit Board Traces

Time and Frequency Domain Analysis for Right Angle Corners on Printed Circuit Board Traces Time and Frequency Domain Analysis for Right Angle Corners on Printed Circuit Board Traces Mark I. Montrose Montrose Compliance Services 2353 Mission Glen Dr. Santa Clara, CA 95051-1214 Abstract: For years,

More information

The Critical Length of a Transmission Line

The Critical Length of a Transmission Line Page 1 of 9 The Critical Length of a Transmission Line Dr. Eric Bogatin President, Bogatin Enterprises Oct 1, 2004 Abstract A transmission line is always a transmission line. However, if it is physically

More information

IBIS for SSO Analysis

IBIS for SSO Analysis IBIS for SSO Analysis Asian IBIS Summit, November 15, 2010 (Presented previously at Asian IBIS Summits, Nov. 9 & 12, 2010) Haisan Wang Joshua Luo Jack Lin Zhangmin Zhong Contents Traditional I/O SSO Analysis

More information

Introduction to Printed Circuit Board Design For EMC Compliance

Introduction to Printed Circuit Board Design For EMC Compliance Introduction to Printed Circuit Board Design For EMC Compliance Mark Montrose Principle Consultant Montrose Compliance Services, Inc. + 1 (408) 247-5715 mark@montrosecompliance.com www.montrosecompliance.com

More information

Consulting. IEEE Joint Meeting Rockford, March 28, 2011 2011 ROY LEVENTHAL

Consulting. IEEE Joint Meeting Rockford, March 28, 2011 2011 ROY LEVENTHAL EMI-EMC EMC Theory and Test Consulting IEEE Joint Meeting Rockford, March 28, 2011 2011 ROY LEVENTHAL http://www.semiconductorsimulation.com http://www.semiconductormodel.com Roy.Leventhal@ieee.org 847-590-9398

More information

EMI-EMC Theory and Troubleshooting

EMI-EMC Theory and Troubleshooting EMI-EMC Theory and Troubleshooting IEEE EMC Meeting Chicago, February 16, 2011 2011 ROY LEVENTHAL http://www.semiconductorsimulation.com http://www.semiconductormodel.com Roy.Leventhal@ieee.org 847-590-9398

More information

Effective Power/Ground Plane Decoupling for PCB

Effective Power/Ground Plane Decoupling for PCB Effective Power/Ground Plane Decoupling for PCB Dr. Bruce Archambeault IBM Distinguished Engineer IEEE Fellow IBM Research Triangle Park, NC Barch@us.ibm.com IEEE October 2007 Power Plane Noise Control

More information

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material Yu Xuequan, Yan Hang, Zhang Gezi, Wang Haisan Huawei Technologies Co., Ltd Lujiazui Subpark, Pudong Software

More information

Grounding Demystified

Grounding Demystified Grounding Demystified 3-1 Importance Of Grounding Techniques 45 40 35 30 25 20 15 10 5 0 Grounding 42% Case 22% Cable 18% Percent Used Filter 12% PCB 6% Grounding 42% Case Shield 22% Cable Shielding 18%

More information

What Really Is Inductance?

What Really Is Inductance? Bogatin Enterprises, Dr. Eric Bogatin 26235 W 110 th Terr. Olathe, KS 66061 Voice: 913-393-1305 Fax: 913-393-1306 eric@bogent.com www.bogatinenterprises.com Training for Signal Integrity and Interconnect

More information

Using Pre-Emphasis and Equalization with Stratix GX

Using Pre-Emphasis and Equalization with Stratix GX Introduction White Paper Using Pre-Emphasis and Equalization with Stratix GX New high speed serial interfaces provide a major benefit to designers looking to provide greater data bandwidth across the backplanes

More information

ANN Based Modeling of High Speed IC Interconnects. Q.J. Zhang, Carleton University

ANN Based Modeling of High Speed IC Interconnects. Q.J. Zhang, Carleton University ANN Based Modeling of High Speed IC Interconnects Needs for Repeated Simulation Signal integrity optimization Iterative design and re-optimization Monte-Carlo analysis Yield optimization Iterative design

More information

11. High-Speed Differential Interfaces in Cyclone II Devices

11. High-Speed Differential Interfaces in Cyclone II Devices 11. High-Speed Differential Interfaces in Cyclone II Devices CII51011-2.2 Introduction From high-speed backplane applications to high-end switch boxes, low-voltage differential signaling (LVDS) is the

More information

Transmission Line Terminations It s The End That Counts!

Transmission Line Terminations It s The End That Counts! In previous articles 1 I have pointed out that signals propagating down a trace reflect off the far end and travel back toward the source. These reflections can cause noise, and therefore signal integrity

More information

Reducing EMI and Improving Signal Integrity Using Spread Spectrum Clocking

Reducing EMI and Improving Signal Integrity Using Spread Spectrum Clocking Reducing EMI and Improving Signal Integrity Using Spread Spectrum Clocking Electromagnetic interference (EMI), once the exclusive concern of equipment designers working with high-speed signals, is no longer

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits

IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits SUMMARY CONTENTS 1. CONTEXT 2. TECHNOLOGY TRENDS 3. MOTIVATION 4. WHAT IS IC-EMC 5. SUPPORTED STANDARD 6. EXAMPLES CONTEXT - WHY

More information

A NEAR FIELD INJECTION MODEL FOR SUSCEPTIBILITY PREDICTION IN INTEGRATED CIRCUITS

A NEAR FIELD INJECTION MODEL FOR SUSCEPTIBILITY PREDICTION IN INTEGRATED CIRCUITS ICONIC 2007 St. Louis, MO, USA June 27-29, 2007 A NEAR FIELD INJECTION MODEL FOR SUSCEPTIBILITY PREDICTION IN INTEGRATED CIRCUITS Ali Alaeldine 12, Alexandre Boyer 3, Richard Perdriau 1, Sonia Ben Dhia

More information

CONDUCTED EMISSION MEASUREMENT OF A CELL PHONE PROCESSOR MODULE

CONDUCTED EMISSION MEASUREMENT OF A CELL PHONE PROCESSOR MODULE Progress In Electromagnetics esearch C, Vol. 42, 191 203, 2013 CONDUCTED EMISSION MEASUEMENT OF A CELL PHONE POCESSO MODULE Fayu Wan *, Junxiang Ge, and Mengxiang Qu Nanjing University of Information Science

More information

CHIP-PKG-PCB Co-Design Methodology

CHIP-PKG-PCB Co-Design Methodology CHIP-PKG-PCB Co-Design Methodology Atsushi Sato Yoshiyuki Kimura Motoaki Matsumura For digital devices integrating an image-processing LSI, performance improvement, cost cutting and reduction of the time

More information

" PCB Layout for Switching Regulators "

 PCB Layout for Switching Regulators 1 " PCB Layout for Switching Regulators " 2 Introduction Linear series pass regulator I L V IN V OUT GAIN REF R L Series pass device drops the necessary voltage to maintain V OUT at it s programmed value

More information

UNDERSTANDING AND CONTROLLING COMMON-MODE EMISSIONS IN HIGH-POWER ELECTRONICS

UNDERSTANDING AND CONTROLLING COMMON-MODE EMISSIONS IN HIGH-POWER ELECTRONICS Page 1 UNDERSTANDING AND CONTROLLING COMMON-MODE EMISSIONS IN HIGH-POWER ELECTRONICS By Henry Ott Consultants Livingston, NJ 07039 (973) 992-1793 www.hottconsultants.com hott@ieee.org Page 2 THE BASIC

More information

Signal Types and Terminations

Signal Types and Terminations Helping Customers Innovate, Improve & Grow Application Note Signal Types and Terminations Introduction., H, LV, Sinewave, Clipped Sinewave, TTL, PECL,,, CML Oscillators and frequency control devices come

More information

Signal Integrity: Tips and Tricks

Signal Integrity: Tips and Tricks White Paper: Virtex-II, Virtex-4, Virtex-5, and Spartan-3 FPGAs R WP323 (v1.0) March 28, 2008 Signal Integrity: Tips and Tricks By: Austin Lesea Signal integrity (SI) engineering has become a necessary

More information

AND8326/D. PCB Design Guidelines for Dual Power Supply Voltage Translators

AND8326/D. PCB Design Guidelines for Dual Power Supply Voltage Translators PCB Design Guidelines for Dual Power Supply Voltage Translators Jim Lepkowski ON Semiconductor Introduction The design of the PCB is an important factor in maximizing the performance of a dual power supply

More information

Application Note AN-1135

Application Note AN-1135 Application Note AN-1135 PCB Layout with IR Class D Audio Gate Drivers By Jun Honda, Connie Huang Table of Contents Page Application Note AN-1135... 1 0. Introduction... 2 0-1. PCB and Class D Audio Performance...

More information

Radiated Emission and Susceptibility

Radiated Emission and Susceptibility Radiated Emission and Susceptibility Tzong-Lin Wu, Ph.D. EMC Lab Department of Electrical Engineering National Taiwan University Differential-Mode v.s. Common-mode Currents 1 Differential-Mode v.s. Common-mode

More information

USB2.0 Technical Manual

USB2.0 Technical Manual TDK EMC Technology Practice Section USB2.0 Technical Manual TDK Corporation Magnetics Business Group Mitsuharu Mizutani 1 Introduction USB2.0 was released in April of 2000 and it has become the standard

More information

Precision Analog Designs Demand Good PCB Layouts. John Wu

Precision Analog Designs Demand Good PCB Layouts. John Wu Precision Analog Designs Demand Good PCB Layouts John Wu Outline Enemies of Precision: Hidden components Noise Crosstalk Analog-to-Analog Digital-to-Analog EMI/RFI Poor Grounds Thermal Instability Leakage

More information

AP24026. Microcontroller. EMC Design Guidelines for Microcontroller Board Layout. Microcontrollers. Application Note, V 3.

AP24026. Microcontroller. EMC Design Guidelines for Microcontroller Board Layout. Microcontrollers. Application Note, V 3. Microcontroller Application Note, V 3.0, April 2005 AP24026 for Microcontroller Board Layout Microcontrollers Never stop thinking. TriCore Revision History: 2005-04 V 3.0 Previous Version: 2001-04 Page

More information

Application Note 58 Crystal Considerations with Dallas Real Time Clocks

Application Note 58 Crystal Considerations with Dallas Real Time Clocks www.dalsemi.com Application Note 58 Crystal Considerations with Dallas Real Time Clocks Dallas Semiconductor offers a variety of real time clocks (RTCs). The majority of these are available either as integrated

More information

Managing Connector and Cable Assembly Performance for USB SuperSpeed

Managing Connector and Cable Assembly Performance for USB SuperSpeed Whitepaper Managing Connector and Cable Assembly Performance for USB SuperSpeed Revision 1.0 February 1, 2013 Abstract USB 3.0 connector and cable assembly performance can have a significant impact on

More information

Application Note AN:005. FPA Printed Circuit Board Layout Guidelines. Introduction Contents. The Importance of Board Layout

Application Note AN:005. FPA Printed Circuit Board Layout Guidelines. Introduction Contents. The Importance of Board Layout FPA Printed Circuit Board Layout Guidelines By Paul Yeaman Principal Product Line Engineer V I Chip Strategic Accounts Introduction Contents Page Introduction 1 The Importance of 1 Board Layout Low DC

More information

EM Noise Mitigation in Circuit Boards and Cavities

EM Noise Mitigation in Circuit Boards and Cavities EM Noise Mitigation in Circuit Boards and Cavities Faculty (UMD): Omar M. Ramahi, Neil Goldsman and John Rodgers Visiting Professors (Finland): Fad Seydou Graduate Students (UMD): Xin Wu, Lin Li, Baharak

More information

Agilent Improved Method for Characterizing and Modeling Gigabit Flex-Circuit Based Interconnects. White Paper

Agilent Improved Method for Characterizing and Modeling Gigabit Flex-Circuit Based Interconnects. White Paper Agilent Improved Method for Characterizing and Modeling Gigabit Flex-Circuit Based Interconnects White Paper Improved Method for Characterizing and Modeling Gigabit Flex-Circuit Based Interconnects Eric

More information

ILB, ILBB Ferrite Beads

ILB, ILBB Ferrite Beads ILB, ILBB Ferrite Beads Electro-Magnetic Interference and Electro-Magnetic Compatibility (EMI/EMC) avid B. Fancher Inductive Products ivision INTROUCTION Manufacturers of electrical and electronic equipment

More information

Figure 1. Core Voltage Reduction Due to Process Scaling

Figure 1. Core Voltage Reduction Due to Process Scaling AN 574: Printed Circuit Board (PCB) Power Delivery Network (PDN) Design Methodology May 2009 AN-574-1.0 Introduction This application note provides an overview of the various components that make up a

More information

Minimizing crosstalk in a high-speed cable-connector assembly.

Minimizing crosstalk in a high-speed cable-connector assembly. Minimizing crosstalk in a high-speed cable-connector assembly. Evans, B.J. Calvo Giraldo, E. Motos Lopez, T. CERN, 1211 Geneva 23, Switzerland John.Evans@cern.ch Eva.Calvo.Giraldo@cern.ch Tomas.Motos-Lopez@cern.ch

More information

This application note is written for a reader that is familiar with Ethernet hardware design.

This application note is written for a reader that is familiar with Ethernet hardware design. AN18.6 SMSC Ethernet Physical Layer Layout Guidelines 1 Introduction 1.1 Audience 1.2 Overview SMSC Ethernet products are highly-integrated devices designed for 10 or 100 Mbps Ethernet systems. They are

More information

Power Noise Analysis of Large-Scale Printed Circuit Boards

Power Noise Analysis of Large-Scale Printed Circuit Boards Power Noise Analysis of Large-Scale Printed Circuit Boards V Toshiro Sato V Hiroyuki Adachi (Manuscript received July 6, 2007) Recent increases in digital-equipment operation frequency and decreases in

More information

EMC Expert System for Architecture Design

EMC Expert System for Architecture Design EMC Expert System for Architecture Design EMC Expert System for Architecture Design Marcel van Doorn marcel.van.doorn@philips.com Philips Electromagnetics Competence Center High Tech Campus 26, 5656 AE

More information

PCB Layout Considerations for Non-Isolated Switching Power Supplies

PCB Layout Considerations for Non-Isolated Switching Power Supplies June 2012 PCB Layout Considerations for Non-Isolated Switching Power Supplies Henry J. Zhang Introduction The best news when you power up a prototype supply board for the very first time is when it not

More information

Card electrical characteristic, Parallelism & Reliability. Jung Keun Park Willtechnology

Card electrical characteristic, Parallelism & Reliability. Jung Keun Park Willtechnology Description of the MEMS CIS Probe Card electrical characteristic, Parallelism & Reliability Jung Keun Park Willtechnology Background Overview Design limitation, Things to consider, Trend CIS Probe Card

More information

Agilent EEsof EDA. www.agilent.com/find/eesof

Agilent EEsof EDA. www.agilent.com/find/eesof Agilent EEsof EDA This document is owned by Agilent Technologies, but is no longer kept current and may contain obsolete or inaccurate references. We regret any inconvenience this may cause. For the latest

More information

Probes and Setup for Measuring Power-Plane Impedances with Vector Network Analyzer

Probes and Setup for Measuring Power-Plane Impedances with Vector Network Analyzer Probes and Setup for Measuring Power-Plane Impedances with Vector Network Analyzer Plane impedance measurement with VNA 1 Outline Introduction, Y, and S parameters Self and transfer impedances VNA One-port

More information

Clamp Filters that Suppress Emission Noise Provide Immunity Against Surge Noise

Clamp Filters that Suppress Emission Noise Provide Immunity Against Surge Noise TDK EMC Technology Product Section Clamp Filters that Suppress Emission Noise Provide Immunity Against Surge Noise TDK Shonai Corporation Satoru Saito Reduce Emission Noise from Cables Even if an electronic

More information

ATE-A1 Testing Without Relays - Using Inductors to Compensate for Parasitic Capacitance

ATE-A1 Testing Without Relays - Using Inductors to Compensate for Parasitic Capacitance Introduction (Why Get Rid of Relays?) Due to their size, cost and relatively slow (millisecond) operating speeds, minimizing the number of mechanical relays is a significant goal of any ATE design. This

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

Printed Circuit Boards. Bypassing, Decoupling, Power, Grounding Building Printed Circuit Boards CAD Tools

Printed Circuit Boards. Bypassing, Decoupling, Power, Grounding Building Printed Circuit Boards CAD Tools Printed Circuit Boards (PCB) Printed Circuit Boards Bypassing, Decoupling, Power, Grounding Building Printed Circuit Boards CAD Tools 1 Bypassing, Decoupling, Power, Grounding 2 Here is the circuit we

More information

Electromagnetic. Reducing EMI and Improving Signal Integrity Using Spread Spectrum Clocking EMI CONTROL. The authors describe the

Electromagnetic. Reducing EMI and Improving Signal Integrity Using Spread Spectrum Clocking EMI CONTROL. The authors describe the From September 2011 High Frequency Electronics Copyright 2011 Summit Technical Media, LLC Reducing EMI and Improving Signal Integrity Using Spread Spectrum Clocking By Jeffrey Batchelor and Jimmy Ma Silicon

More information

LVDS Technology Solves Typical EMI Problems Associated with Cell Phone Cameras and Displays

LVDS Technology Solves Typical EMI Problems Associated with Cell Phone Cameras and Displays AN-5059 Fairchild Semiconductor Application Note May 2005 Revised May 2005 LVDS Technology Solves Typical EMI Problems Associated with Cell Phone Cameras and Displays Differential technologies such as

More information

X2Y Solution for Decoupling Printed Circuit Boards

X2Y Solution for Decoupling Printed Circuit Boards Summary As printed circuit board s (PCB) power distribution systems (PDS) gain in complexity (i.e. multiple voltages and lower voltages levels) the sensitivity to transients and noise voltage is becoming

More information

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram Quad PLL with VCXO Quick Turn Clock Description The ICS379 QTClock TM generates up to 9 high quality, high frequency clock outputs including a reference from a low frequency pullable crystal. It is designed

More information

Nexus Technology Review -- Exhibit A

Nexus Technology Review -- Exhibit A Nexus Technology Review -- Exhibit A Background A. Types of DSL Lines DSL comes in many flavors: ADSL, ADSL2, ADSL2+, VDSL and VDSL2. Each DSL variant respectively operates up a higher frequency level.

More information

High Speed Characterization Report

High Speed Characterization Report ECUE-E-12-XXX-T1-P2 Mated with: UEC5-019-1-H-D-RA-1-A Description: FireFly Equalized Micro Flyover System, 36 AWG Micro Twinax Ribbon Cable Samtec, Inc. 2005 All Rights Reserved Table of Contents Cable

More information

Harmonics and Noise in Photovoltaic (PV) Inverter and the Mitigation Strategies

Harmonics and Noise in Photovoltaic (PV) Inverter and the Mitigation Strategies Soonwook Hong, Ph. D. Michael Zuercher Martinson Harmonics and Noise in Photovoltaic (PV) Inverter and the Mitigation Strategies 1. Introduction PV inverters use semiconductor devices to transform the

More information

Figure 1 FPGA Growth and Usage Trends

Figure 1 FPGA Growth and Usage Trends White Paper Avoiding PCB Design Mistakes in FPGA-Based Systems System design using FPGAs is significantly different from the regular ASIC and processor based system design. In this white paper, we will

More information

Step Response of RC Circuits

Step Response of RC Circuits Step Response of RC Circuits 1. OBJECTIVES...2 2. REFERENCE...2 3. CIRCUITS...2 4. COMPONENTS AND SPECIFICATIONS...3 QUANTITY...3 DESCRIPTION...3 COMMENTS...3 5. DISCUSSION...3 5.1 SOURCE RESISTANCE...3

More information

EB215E Printed Circuit Board Layout for Improved Electromagnetic Compatibility

EB215E Printed Circuit Board Layout for Improved Electromagnetic Compatibility Printed Circuit Board Layout for Improved Author: Eilhard Haseloff Date: 10.07.96 Rev: * The electromagnetic compatibility (EMC) of electronic circuits and systems has recently become of increasing significance.

More information

EMC countermeasures for High-Speed Differential Interfaces

EMC countermeasures for High-Speed Differential Interfaces TDK EMC Technology Practice Section EMC countermeasures for High-Speed Differential Interfaces How Do Common Mode Filters Suppress EMI in Differential Transmission Circuits? TDK Corporation Application

More information

Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines

Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines May 2009 AN-444-1.1 This application note describes guidelines for implementing dual unbuffered DIMM DDR2 and DDR3 SDRAM interfaces. This application

More information

5. MINIMIZATION OF CONDUCTED EMI. Chapter Five. switch-mode power supply decrease approximately linearly with the increase of the switching

5. MINIMIZATION OF CONDUCTED EMI. Chapter Five. switch-mode power supply decrease approximately linearly with the increase of the switching 5. MINIMIZATION OF CONDUCTED EMI Chapter Five MINIMIZATION OF CONDUCTED EMI The sizes of the energy storage elements (transformers, inductors, and capacitors) in a switch-mode power supply decrease approximately

More information

DVIULC6-4SC6. Ultra low capacitance ESD protection. Main applications. Complies with these standards: Description. Benefits. Features.

DVIULC6-4SC6. Ultra low capacitance ESD protection. Main applications. Complies with these standards: Description. Benefits. Features. Ultra low capacitance ESD protection Main applications DVI ports up to 1.65 Gb/s HDMI ports up to 1.65 Gb/s IEEE 1394a and IEEE 1394b ports up to 1.6 Gb/s USB2.0 ports up to 480 Mb/s (high speed), backwards

More information

Frank Hong Advanced CAE Lab, Telecommunication R&D Center, Telecommunication Business, SAMSUNG ELECTRONICS, Suwon, Republic of Korea

Frank Hong Advanced CAE Lab, Telecommunication R&D Center, Telecommunication Business, SAMSUNG ELECTRONICS, Suwon, Republic of Korea Slots on Ground Fillings of Multi-layer Printed Circuit Board for Suppressing Indirect Crosstalk between Digital Clock Line and RF Signal Line in Mixed Mode Mobile Systems Jun So Pak School of Electrical

More information

Title: Low EMI Spread Spectrum Clock Oscillators

Title: Low EMI Spread Spectrum Clock Oscillators Title: Low EMI oscillators Date: March 3, 24 TN No.: TN-2 Page 1 of 1 Background Title: Low EMI Spread Spectrum Clock Oscillators Traditional ways of dealing with EMI (Electronic Magnetic Interference)

More information

EMC-conform development of a Tablet-PC

EMC-conform development of a Tablet-PC EMC-conform development of a Tablet-PC January 21, 2014 Johannes Biner Electrosuisse Montena EMC Bernstrasste 93 CH - 3006 Bern Tel. +41 79 256 21 55 Johannes.biner@montenaemc.ch www.montenaemc.ch Programm

More information

4 OUTPUT PCIE GEN1/2 SYNTHESIZER IDT5V41186

4 OUTPUT PCIE GEN1/2 SYNTHESIZER IDT5V41186 DATASHEET IDT5V41186 Recommended Applications 4 Output synthesizer for PCIe Gen1/2 General Description The IDT5V41186 is a PCIe Gen2 compliant spread-spectrum-capable clock generator. The device has 4

More information

Crosstalk effects of shielded twisted pairs

Crosstalk effects of shielded twisted pairs This article deals with the modeling and simulation of shielded twisted pairs with CST CABLE STUDIO. The quality of braided shields is investigated with respect to perfect solid shields. Crosstalk effects

More information

Printed-Circuit-Board Layout for Improved Electromagnetic Compatibility

Printed-Circuit-Board Layout for Improved Electromagnetic Compatibility Printed-Circuit-Board Layout for Improved Electromagnetic Compatibility SDYA011 October 1996 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue

More information

Eatman Associates 2014 Rockwall TX 800-388-4036 rev. October 1, 2014. Striplines and Microstrips (PCB Transmission Lines)

Eatman Associates 2014 Rockwall TX 800-388-4036 rev. October 1, 2014. Striplines and Microstrips (PCB Transmission Lines) Eatman Associates 2014 Rockwall TX 800-388-4036 rev. October 1, 2014 Striplines and Microstrips (PCB Transmission Lines) Disclaimer: This presentation is merely a compilation of information from public

More information

Transmission-Line Effects Influence High-Speed CMOS

Transmission-Line Effects Influence High-Speed CMOS Transmission-Line Effects Influence High-Speed CMOS Unlike low-power, metal-gate CMOS, high-speed 54HC/74HC devices readily drive long cable runs and backplanes. While the family maintains CMOS s traditional

More information

Oscar E. Morel UtilX Corporation

Oscar E. Morel UtilX Corporation Oscar E. Morel UtilX Corporation Time Domain Reflectometry (TDR) has been the preferred technique to assess: Cable length Splice number and spatial location, and Metallic neutral condition Tests for neutral

More information

Impedance Matching and Matching Networks. Valentin Todorow, December, 2009

Impedance Matching and Matching Networks. Valentin Todorow, December, 2009 Impedance Matching and Matching Networks Valentin Todorow, December, 2009 RF for Plasma Processing - Definition of RF What is RF? The IEEE Standard Dictionary of Electrical and Electronics Terms defines

More information

IIB. Complete PCB Design Using OrCAD Capture and PCB Editor. Kraig Mitzner. ~»* ' AMSTERDAM BOSTON HEIDELBERG LONDON ^ i H

IIB. Complete PCB Design Using OrCAD Capture and PCB Editor. Kraig Mitzner. ~»* ' AMSTERDAM BOSTON HEIDELBERG LONDON ^ i H Complete PCB Design Using OrCAD Capture and PCB Editor Kraig Mitzner IIB ~»* ' AMSTERDAM BOSTON HEIDELBERG LONDON ^ i H NEW YORK * OXFORD PARIS SAN DIEGO ШШЯтИ' ELSEVIER SAN FRANCISCO SINGAPORE SYDNEY

More information

PS323. Precision, Single-Supply SPST Analog Switch. Features. Description. Block Diagram, Pin Configuration, and Truth Table. Applications PS323 PS323

PS323. Precision, Single-Supply SPST Analog Switch. Features. Description. Block Diagram, Pin Configuration, and Truth Table. Applications PS323 PS323 Features ÎÎLow On-Resistance (33-ohm typ.) Minimizes Distortion and Error Voltages ÎÎLow Glitching Reduces Step Errors in Sample-and-Holds. Charge Injection, 2pC typ. ÎÎSingle-Supply Operation (+2.5V to

More information

ICS650-44 SPREAD SPECTRUM CLOCK SYNTHESIZER. Description. Features. Block Diagram DATASHEET

ICS650-44 SPREAD SPECTRUM CLOCK SYNTHESIZER. Description. Features. Block Diagram DATASHEET DATASHEET ICS650-44 Description The ICS650-44 is a spread spectrum clock synthesizer intended for video projector and digital TV applications. It generates three copies of an EMI optimized 50 MHz clock

More information

Standex-Meder Electronics. Custom Engineered Solutions for Tomorrow

Standex-Meder Electronics. Custom Engineered Solutions for Tomorrow Standex-Meder Electronics Custom Engineered Solutions for Tomorrow RF Reed Relays Part II Product Training Copyright 2013 Standex-Meder Electronics. All rights reserved. Introduction Purpose Designing

More information

Module 22: Signal Integrity

Module 22: Signal Integrity Module 22: Signal Integrity Module 22: Signal Integrity 22.1 Signal Integrity... 22-1 22.2 Checking Signal Integrity on an FPGA design... 22-3 22.2.1 Setting Up...22-3 22.2.2 Importing IBIS Models...22-3

More information

Electromagnetic and Circuit Co-Simulation and the Future of IC and Package Design. Zoltan Cendes

Electromagnetic and Circuit Co-Simulation and the Future of IC and Package Design. Zoltan Cendes Electromagnetic and Circuit Co-Simulation and the Future of IC and Package Design Zoltan Cendes Wireless Consumer Devices PCB noise System SI Predicts Receiver Desensitization System EMI Predicts Display

More information

Designing VM2 Application Boards

Designing VM2 Application Boards Designing VM2 Application Boards This document lists some things to consider when designing a custom application board for the VM2 embedded controller. It is intended to complement the VM2 Datasheet. A

More information

SECTION 2 Transmission Line Theory

SECTION 2 Transmission Line Theory SEMICONDUCTOR DESIGN GUIDE Transmission Line Theory SECTION 2 Transmission Line Theory Introduction The ECLinPS family has pushed the world of ECL into the realm of picoseconds. When output transitions

More information

Extending Rigid-Flex Printed Circuits to RF Frequencies

Extending Rigid-Flex Printed Circuits to RF Frequencies Extending -Flex Printed Circuits to RF Frequencies Robert Larmouth Teledyne Electronic Technologies 110 Lowell Rd., Hudson, NH 03051 (603) 889-6191 Gerald Schaffner Schaffner Consulting 10325 Caminito

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

USB 3.0 INTERNAL CONNECTOR AND CABLE SPECIFICATION

USB 3.0 INTERNAL CONNECTOR AND CABLE SPECIFICATION USB 3.0 INTERNAL CONNECTOR AND CABLE SPECIFICATION Revision 1.0 August 20, 2010 2007-2010 Intel Corporation All rights reserved. Legal Disclaimers THIS SPECIFICATION IS PROVIDED AS IS WITH NO WARRANTIES

More information

2.996/6.971 Biomedical Devices Design Laboratory Lecture 2: Fundamentals and PCB Layout

2.996/6.971 Biomedical Devices Design Laboratory Lecture 2: Fundamentals and PCB Layout 2.996/6.971 Biomedical Devices Design Laboratory Lecture 2: Fundamentals and PCB Layout Instructor: Hong Ma Sept. 12, 2007 Fundamental Elements Resistor (R) Capacitor (C) Inductor (L) Voltage Source Current

More information

Common Mode Radiation of a Printed Circuit Board with Embedded Decoupling Capacitor Excited by IC s Shoot-Through Current

Common Mode Radiation of a Printed Circuit Board with Embedded Decoupling Capacitor Excited by IC s Shoot-Through Current DesignCon 2007 Common Mode Radiation of a Printed Circuit Board with Embedded Decoupling Capacitor Excited by IC s Shoot-Through Current Toshio Sudo, Toshiba Corporation Toshio.sudo@toshiba.co.jp Seiju

More information

Automated EMC Rule Checking for PCB Designs in the Real-World

Automated EMC Rule Checking for PCB Designs in the Real-World Automated EMC Rule Checking for PCB Designs in the Real-World Bruce Archambeault, PhD IEEE Fellow Archambeault EMI/EMC Enterprises Missouri University of Science & Technology Adjunct Professor IBM Distinguished

More information

RClamp0522PA RClamp0524PA

RClamp0522PA RClamp0524PA PROTECTION PRODUCTS - RailClamp Description RailClamp TVS arrays are ultra low capacitance ESD protection devices designed to protect high speed data interfaces. This series has been specifically designed

More information

Connector Launch Design Guide

Connector Launch Design Guide WILD RIVER TECHNOLOGY LLC Connector Launch Design Guide For Vertical Mount RF Connectors James Bell, Director of Engineering 4/23/2014 This guide will information on a typical launch design procedure,

More information

Agilent Ultra-Low Impedance Measurements Using 2-Port Measurements. Application Note

Agilent Ultra-Low Impedance Measurements Using 2-Port Measurements. Application Note Agilent Ultra-Low Impedance Measurements Using 2-Port Measurements Application Note Table of Contents Ultra-Low Impedance Measurements Using a Vector Network Analyzer... 3 Limitations of 1-Port VNA Impedance

More information

Transmission of High-Speed Serial Signals Over Common Cable Media

Transmission of High-Speed Serial Signals Over Common Cable Media Transmission of High-Speed Serial February 0 Introduction Technical Note TN066 Designers are often faced with moving serial data from one location to another, over moderate distances, and in the most efficient

More information

Planar versus conventional transformer

Planar versus conventional transformer Planar versus conventional transformer Majid Dadafshar, Principal Engineer Gerard Healy, Field Application Engineer Pulse, a Technitrol Company Power Division Usually the first step on any power supply

More information

PCB Design Guidelines For Reduced EMI

PCB Design Guidelines For Reduced EMI PCB Design Guidelines For Reduced EMI SZZA009 November 1999 1 IMPORTANT NOTICE Texas Instruments and its subsidiaries (TI) reserve the right to make changes to their products or to discontinue any product

More information

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1 ing Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle 6.884 - Spring 2005 2/18/05

More information