Bulk Micromachining for Microsystems

Similar documents
III. Wet and Dry Etching

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Module 7 Wet and Dry Etching. Class Notes

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Dry Etching and Reactive Ion Etching (RIE)

EE-527: MicroFabrication

Deposition Overview for Microsytems

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Silicon-On-Glass MEMS. Design. Handbook

Photolithography. Class: Figure Various ways in which dust particles can interfere with photomask patterns.

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

AN900 APPLICATION NOTE

Coating Technology: Evaporation Vs Sputtering

Semiconductor doping. Si solar Cell

CRYSTAL DEFECTS: Point defects

Etching and Pattern Transfer (1) OUTLINE J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1.

Solar Photovoltaic (PV) Cells

Lezioni di Tecnologie e Materiali per l Elettronica

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Graduate Student Presentations

Chapter 10 CVD and Dielectric Thin Film

Vacuum Evaporation Recap

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Dry Etch Process Application Note

T.M.M. TEKNIKER MICROMACHINING

MEMS Overview. What is MEMS?

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

MEMS Processes from CMP

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

Fabrication and Manufacturing (Basics) Batch processes

Chapter 7-1. Definition of ALD

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Advanced VLSI Design CMOS Processing Technology

Chapter 11 PVD and Metallization

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4

PLASMA TECHNOLOGY OVERVIEW

Lecture 35: Atmosphere in Furnaces

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology

Dry Film Photoresist & Material Solutions for 3D/TSV

3.1 Etching wet etching, micromachining, dry etching, applications, diagnostics and end point detection, challenges in dry etching

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia

Results Overview Wafer Edge Film Removal using Laser

Electron Beam and Sputter Deposition Choosing Process Parameters

Plasma Cleaner: Physics of Plasma

Fabrication of PN-Junction Diode by IC- Fabrication process

COATED CARBIDE. TiN. Al 2 O 3

Plasma Etching ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING. Plasma Etching. Dr. Lynn Fuller.

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

Ion Beam Sputtering: Practical Applications to Electron Microscopy

How To Implant Anneal Ion Beam

Electroplating with Photoresist Masks

IB Chemistry. DP Chemistry Review

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

How compact discs are made

1. PECVD in ORGANOSILICON FED PLASMAS

Lecture 9. Surface Treatment, Coating, Cleaning

Figure Process flow from starting material to polished wafer.

Lecture 30: Cleanroom design and contamination control

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Balancing chemical reaction equations (stoichiometry)

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

h e l p s y o u C O N T R O L

How to measure absolute pressure using piezoresistive sensing elements

Winbond W2E512/W27E257 EEPROM

High performance. Architectural glazings utilise thin. low-emissivity coating. Coating technology

Deposition of Thin Metal Films " (on Polymer Substrates)!

Barrier Coatings: Conversion and Production Status

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

CHAPTER 7 THE DEHYDRATION AND SWEETENING OF NATURAL GAS

2. Deposition process

States of Matter CHAPTER 10 REVIEW SECTION 1. Name Date Class. Answer the following questions in the space provided.

How MOCVD. Works Deposition Technology for Beginners

Question Bank Electrolysis

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

VLSI Fabrication Process

How do single crystals differ from polycrystalline samples? Why would one go to the effort of growing a single crystal?

Graphene a material for the future

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Why silicon MEMS? Silicon is a strong material... Photolithography. Micromachining. Dicing and packaging

IMA. Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer Bauelemente. Sören Irmer

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Austin Peay State University Department of Chemistry CHEM Empirical Formula of a Compound

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

IBS - Ion Beam Services

Good Boards = Results

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator

Chemistry: Chemical Equations

Formation of solids from solutions and melts

Lapping and Polishing Basics

Spectroscopic Ellipsometry:

Safety, Cleaning, and Chemical Disposal Procedures

Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor

WATERPROOFING OF WET ROOMS

Transcription:

Bulk Micromachining for Microsystems

Bulk Micromachined Geomtries Pressure Sensor membrane Grooves/ Microchannels AFM Tip Cantilever

Bulk Micromachinging Microstructures formed by surface micromachining are thin. For simpler fabrication of microstructures and/or to create larger vertical space around them etching into the substrate volume would be required Thin diaphragms, cavities, and cantilevers can be formed by this approach. In general silicon etching can be isotropic or anisotropic

Types of etching Isotropic etching With agitation Without agitation Anisotropic etching (111) plane (111) (100) silicon (110) silicon

Masks for etching of silicon A photoresist mask will not survive etchants. Hence a (SiO 2 ) layer is required for etch window

Fabrication of Microsystems Wafer Cleaning Processing of bonded wafer Deposition (Evaporation, sputtering, CVD, etc) [Metals, Semiconductors, Dielectrics] Resist processing & Pattern transfer Etching (wet; dry: RIE, DRIE) [Substrate: isotropic, anisotropic; thin films] Wafer level Bonding /Packaging Repeat for each new layer Microsystems may require non-electrical interfaces Final Packaging, Testing Dicing Die attach Release etch Required only for devices with surface micromachined parts

Unit processes Required in Bulk Micromachining Deposition of thin films Physical or Chemical vapor deposition (for metals, polysi, SiO 2, Si 3 N 4 ) Spin coating (for resists, SU-8, other polymeric materials) Doping To change conductivity of PolySi conducting electrodes To change chemical (etch rate) characteristics Pattern transfer & Etching Lithography Wet etching of Silicon Dry Etching of Silicon

Thin Film Deposition process Source Transport Condensation on substrate The nature of the film deposited depends on process parameters like substrate, deposition temperature, gaseous environment, rate of deposition etc. In Physical vapor Deposition (PVD) process, this transfer takes place by a physical means such as evaporation or impact Favorable conditions are created to transfer the material from the source (target) to the destination (substrate). In chemical Vapor Deposition (CVD) process films are deposited through a chemical reaction.

Sputtering A physical phenomenon involving The creation of plasma by discharge of neutral gas such as helium Acceleration of ions via a potential gradient and the bombardment of a target or cathode Through momentum transfer atoms near the surface of the target metal become volatile and are transported as vapors to a substrate Film grows at the surface of the substrate via deposition Wafer Ions Cathode (Target) Anode Vacuum pump Vacuum enclosure Sputtered atoms

Process in CVD Mass transport of reactant (and diluent gases ) in the bulk gases flow region from the reactor inlet to the deposition zone. Gas phase reactions leading to film precursors and by-products. Mass transport of film pre-cursors and reactants to the growth surface. Adsorption of film precursors and reactants on the growth surface. Mass transport of by-products in the bulk gas flow region away from the deposition zone towards the reactor exit Types Plasma enhanced (PECVD) Atmospheric pressure (APCVD) Low pressure (LPCVD) Very low pressure (VLCVD) Metallographic (MOCVD)

LPCVD of Si Compounds CVD is used to form SiO 2 layers that are much thicker in relatively very short times than thermal oxides. SiO 2 can be deposited from reacting silane and oxygen in LPCVD reactor at 300 to 500 C where SiH 500 C 4 O2 SiO2 2H2 SiO 2 can also be LPCVD deposited by decomposing dichlorosilane 900 C 2 SiCl H2 2H 2O SiO 2 2H2 2HCl SiO 2 can also be LPCVD deposited by from tetraethyl orthosilicate (TEOS or, Si(OC 2 H 5 ) 4 ) by vaporizing this from a liquid source. Si 3 N 4 can be LPCVD or PECVD process. In the LPCVD process, dichlorosilane and ammonia react according to the reaction ~ 800 C 2H 2 4NH 3 Si 3N 4 6HCl 6H 2 3SiCl

Pattern Transfer Techniques In Lithography Resist coating Spin coating Soft baking UV exposure Development Post baking Etching of thin film Resist striping In lift off process a photoresist pattern is generated initially on the substrate instead of etching the unwanted material. The basic criterion for the lift off technique is that the thickness of deposited film should be significantly less than that of the photoresist and the developed patterns have vertical side walls. Metal layers with high resolution can be patterned using lift off technique. Metals such as gold (which can be etched with aqua regia) can be patterned with simple processes by lift off. Lithography Thin film Deposition Coating of Resist UV exposure & Development Removal of unwanted material Lift-off Coating of Resist UV exposure & Development Metal Deposition Removal of resist & unwanted metal

Etching of thin films Silicon Nitride etching 1%HF 60nm/min 10%HF 500nm/min H 3 PO 4 10nm/min (180C) Silicon Dioxide Etching Buffered HF 100-250nm/min HF (very fast) Etch rates depends on how the film was deposited (film quality)

Etching Some definitions Aspect ratio Ratio of height to lateral dimensions of etched microstructures. Selectivity ability of the process to choose between the layer to be removed and the interleaving layers (usually 40:1 is required) Etch rate the speed with which the process progresses Etch profile slope of the etch wall Wet etching involves Transporting of the reactants by diffusion at the surface Chemical reaction at the surface Reaction products transported away from the surface

Isotropic etching Use acidic etchants Rounded patterns formed Used for Rounding of sharp edges (formed by anisotropic etching) to avoid stress concentrations Removing roughness after dry/anisotropic etching Thinning For creating structures / planar surfaces on single crystal Silicon Patterning single crystal, poly crystalline or amorphous films Delineation of electrical junctions and defect evaluation

Iso etching Difficulties in isotropic etching Masking. Etch rate is agitation and temperature sensitive, Difficult to control lateral and vertical etch rates Wet etchants for electronics materials Material Etchant Etch rate (Å/min) Si 3ml HF+5ml HNO 3 + 3ml CH 3 COOH 3.5x10 5 GaAs 8ml H 2 SO 4 +1ml H 2 O 2 +1ml H 2 O 0.8x10 5 SiO 2 Si 3 N 4 28ml HF + 170ml H 2 O + 113g NH 4 F 15ml HF + 10ml HNO 3 + 300ml H 2 O 1000 120 Buffered HF 5 H 3 PO 4 100 Al 1ml HNO 3 + 4ml CH 3 COOH + 4ml H 3 PO 4 + 350 1ml H 2 O Au 4g KI + 1g I 2 + 40ml H2O 1x10 5 Cu FeCl 3

Anisotropic etching of Silicon Anisotropic etching means different etch rates in different directions in the material (e.g., Crystalline Si)

Anisotropically etched features (100) wafer with a square mask (110) (100) (110) SiO 2 111 54.74 0

Understanding the Crystallography of Silicon (100) (110) (111)

Crystal Structure of Silicon Zinc Blende Structure consists of two interpenetrating FCCs This is not rotationally symmetric Properties vary in different directions Anisotropic

Isotropic and Anisotropic etching of Silicon [100] [100] [110]

Special Cases (a) If the masking window is misaligned with the crystal directions, the etch profile is bounded by a superscribing regular geometry. (b) Similar results for non-rectangular etch windows. (c) A free standing cantilever of the masking layer results by anisotropic etching with aligned geometry shown. [110] [110] [110]

Bulk micromachining for a cantilever Top view of substrate Side view SiO 2 Si Oxidized silicon wafer photo resist Photolithography to define cantilever dimensions and oxide etching Oxide etched by BHF Cantilever structure

Cantilevers formed by Bulk etching

Anisotropic Etchants Alkaline aqueous solutions of KOH, NaOH, LiOH,. NH4OH Alkaline organics, Ethylene di amine, chorine, hydrazine Performance of common Etchants KOH (Most popular) Use near saturated solution ( 1:1 in water) at 80 C. Selectivity with SiO2 is not very good. KOH is incompatible with IC fabrication process, since it attacks Al bond pads. It can cause blindness if gets into contact with eyes Selectivity with silicon dioxide - 400:1 For KOH EDP Ethylene diamine pyrocatehol +water Masking SiO2, Si3N4, Au, Cr, Ag Selectivity with SiO2-5000:1 Issues in anisotropic bulk micromachining Extensive real estate consumption Large area wasted between devices Device becomes fragile

Anisotropic etching Silicon Etchant Temperature Etch rate Etch rate Etch rate <100> <110 > <111> KOH:H 2 O 80 66 132 0.33 KOH 75 25-42 39-66 0.5 EDP 110 51 57 1.25 N2H 4 :H 2 O 118 176 99 11 NH 4 OH 75 24 8 1

Etch variation Silicon etch rate and etched Si surface roughness v/s concentration of KOH solution and Iso Propyl Alcohol at 75 C and 900 RPM, stirring rate.

Selection of Silicon Crystal Orientation (100) Oriented Si (110) Oriented Si Inward sloping walls (54.74 ) The sloping walls cause a lot of lost real estate Flat bottom parallel to surface is ideal for membrane fabrication Bridges perpendicular to a V-groove bound by (111 ) planes cannot be underetched Vertical {1 1 1} walls Narrow trenches with high aspect ratio are possible Multifaceted cavity bottom ({110} and {100 } planes) makes for a poor diaphragm Bridges perpendicular to a V-groove bound by (111) planes can be undercut Shape and orientation of diaphragms convenient and simple to design Diaphragm size, bounded by nonetching {111} planes, is relatively easy to control Shape and orientation of diaphragms are awkward and more difficult to design Diaphragm size is difficult to control (the <100> edges are not defined by nonetching planes)

Silicon etching

Images of Etched geomtries SiO2 Mask Etched Surface Pressure Sensor membrane

Etch stop technique Etch stop is a region at which the wet etching slows down Dopant selective etching (DSE) Technique is useful for heavily doped layers, leaving behind lightly doped Advantages of DSE Independent of crystal orientation Smooth surface finish Offers possibilities for fabricating release structures with arbitrary lateral geometry Disadvantages High boron conc. introduces mechanical stress into the material Etchant (Diluent) Temp. ( C) (100) Etch-rate ( m/min) for boron doping << 10 19 cm -3 EDP (H 2 O) 115 0.75 0.015 KOH (H 2 O) 85 1.4 0.07 NaOH (H 2 O) 65 0.25-1.0 0.025-0.1 Etch-rate ( m/min) for boron doping 10 20 cm -3

Doped regions For doping of semiconductors, controlled quantities of impurity atoms are introduced into the selected regions of the surface through masks on the top of the wafer. Diffusion and Ion implantation are common methods for this. Used as etch stop layers N and P regions can be formed for active semiconductors Diffusion Wafer placed in a high temp furnace and a carrier gas is passed. Boron and phosphorous are commonly used dopants The deposited wafer is heated in a furnace for drive in; oxidising or inert gas to redistribute dopants in the wafer to desired depth Phosphorus Diffusion Make Tempress Temperature Range 800-1200 C Dopant Source POCl 3 Bubbler Gas Nitrogen (0.4ltr/min) Carrier Gas Nitrogen (4 ltr/min) Flow rate of Oxygen 0.6 l/min Boron Diffusion Make Tempress Temperature Range 900-1200 C Dopant Source Boron Nitride Disc Process Ambient Nitrogen Flow rate of N 2 4 ltr/min Silicon dioxide is used as the masking layer

Dry Etching Techniques Material removal for IC s, MEMS By physical By ion bombardment By chemical Chemical reaction through a reactive species at the surface Or Combination Plasma etch

Dry Etching vs Wet Etching Wet Etching No expensive equipment required Corrosive Acids or alkalies used Waste products are also corrosive Difficult to automate Limitations in the aspect ratio for vertical structures Dry Etching Carried out in plasma reactor Safe non-toxic gases, e.g. O 2 & CF 4 used Waste products are easily discharged Ease of automation Extended processes for high aspect ratio

Etching Mechanisms in Plasma (a) Sputtering Physical removal of Si +Ion Very good anisotropy Poor selectivity (a) (b) Chemical Etching Gas phase species react with Si to form volatile product Neutral Volatile Product Photo -resist Very good selectivity Poor anisotropy (b)

Etching Mechanisms in Plasma (c)energetic ion-enhanced etching Impinging ions damage surface, increasing reactivity (Cl 2 etching of undoped Si) Neutral + Ion Volatile Product Good selectivity & anisotropy PR (c) (d) Inhibitor ion-enhanced etching Requires two different species etchants (Cl 2 ) and inhibitors(c 2 F 6 ) Neutral + Ion Volatile Product Very good selectivity and anisotropy PR (d) Inhibitor

Reactive Ion Etching (RIE) Wet etching causes undercut Unidirectional etching is possible with RIE High fidelity pattern transfer Steps involved in RIE Etching 1. Reactive etching species are generated by electron/ molecule collisions 2. Etchant species diffuse through stagnant region to the surface of the film to be etched 3. Etchant species adsorb onto surface 4. Reaction takes place http://www.ee.byu.edu/cleanroom/rie_etching.phtml 5. Etched product desorbs from the surface 6. Etch products diffuse back into bulk gas and removed by vacuum

Deep Reactive Ion Etching High aspect ratio, deep trench silicon etching process. The principle of the deep trench silicon etching process is an alternating fluorine based etching and passivation of the structures. Masking layers can be made of photo resist or silicon oxide. The main benefits of the DRIE are: etch rate of up to 6 µm/min aspect ratio up to 40:1 selectivity to positive resist > 75:1 selectivity to silicon oxide >150:1 etch depth capability 10 to 550 µm (through wafer etching) sidewall profile 90 ±1 feature size 1 to >500 µm

Deep Reactive Ion Etching (DRIE) Process alternates between etching and polymer deposition SF 6 /Ar used for etching CHF 3 /Ar used for polymerization Selectivities:photoresist(100:1), SiO 2 (200:1) Aspect ratio up to 30:1(sidewall angle 90±2 o ) Etch rates of 2 to 3 m/min

Integration with Electronics CMOS First Electronics MEMS MEMS First Electronics MEMS Notice that in both cases, electronics are integrated on chip It is also possible to have the electronics in a separate die and integrate these on a package (Multi-chip modules) Thicker structures and closed cavities can be formed by Wafer bonding techniques - V + Anode Cathode Glass Silicon

Fabrication of a Cantilever Beam by Surface Micromachining Poly layer SiO 2 Silicon Substrate Deposition of Oxide and Polysilicon layer Poly layer SiO 2 Silicon Substrate Top view &Cross sectional view after patterning the polysilicon layer Poly layer SiO 2 anchor Silicon Substrate Top view & Cross sectional view after Release

Summary: Silicon Micromachining Surface micromachining Surface micro machining (SM) build structures on the surface of the silicon SM Involves Deposition of thin film of sacrificial & structural layer Removal of sacrificial layer to release the mechanical structure Micro structure fabricated using SM are usually planar structure Dimensions of the SM structures can be several orders of magnitude smaller than bulk machined structure Bulk micromachining Allows selective removal of significant amounts of silicon from a substrate to form membranes on one side of the wafer A variety of holes Or other structures BM can be divided into two; Wet etching Liquid etchant (aqueous chemicals) Dry etching Vapor and plasma etchant

Comparison of Micromachining Techniques Bulk micromachining Emerged during 1960s Used pressure sensors, Si valves Used to realize structures within bulk of a single crystal Si wafer by selectively removing wafer material Structures may have thickness range from sub-micron to full wafer thickness, and lateral dimensions as large as few mm Key step is etching Wet isotropic Wet anisotropic Plasma isotropic Reactive ion etching Surface micromachining Emerged during 1980s Structures are mainly located on the surface of the Si wafer and consists of thin films The dimensions of these structures are several orders of magnitude smaller than structures generated by bulk micromachining Involves Deposition of sacrificial layer Deposition and selective etching of structural layer(s) Removal of sacrificial layer

High Aspect Ratio Microsystems Wafer Bonding techniques High Aspect Ratio Methods for Silicon LIGA and other molding techniques Polymeric microsystems (low cost fabrication) Low Temperature Co-fired Ceramics (LTCC)