EQUIPMENT FOR THE CLEANING OF SILICON WAFERS USING SUPERCRITICAL FLUIDS



Similar documents
Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Lecture 30: Cleanroom design and contamination control

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

PLASMA TECHNOLOGY OVERVIEW

Electroplating with Photoresist Masks

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

Graduate Student Presentations

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

III. Wet and Dry Etching

DI-water technology for photoresist removal. shifts from 200- to 300-mm wafers, the use of ozonated deionized water (DIO 3

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Good Boards = Results

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

Chapter 7-1. Definition of ALD

Semiconductor doping. Si solar Cell

Dry Etching and Reactive Ion Etching (RIE)

Photolithography. Class: Figure Various ways in which dust particles can interfere with photomask patterns.

AN900 APPLICATION NOTE

Module 7 Wet and Dry Etching. Class Notes

italtec PRINTED CIRCUITS EQUIPMENT PRINTED CIRCUITS EQUIPMENT Insulator machines Echting machines Special equipment and machines

Advanced VLSI Design CMOS Processing Technology

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

Our Embedded Dream of the Invisible Future

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Influence of CO2 Bubbling (Carbonation) During Semiconductor Wafer Sawing Process. KP Yan, Reinhold Gaertner, KK Ng

VALIDATION, MODELING, AND SCALE-UP OF CHEMICAL LOOPING COMBUSTION WITH OXYGEN UNCOUPLING

LIPE- Institut National des Sciences Appliquées Toulouse, France Contact:

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

Mask Cleaning Processes and Challenges

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration

A Laboratory Approach to Semiconductor Process Technology

Coating Technology: Evaporation Vs Sputtering

Technical Info Sheet. Ionic contamination testing in a no-clean soldering process. Scope

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping

FOUP (Pod) contamination control solutions for 200 mm, 300 mm and 450 mm substrates. Jorgen Lundgren, Senior Applications Engineer Entegris GmbH

Wipe Analysis to Determine Metal Contamination on Critical Surfaces

MiCAFIL. 1 RIP Technology. Bushings. Z. Zic 09/2003

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring

LifeASSURE PFS Series Filter Cartridge Integrity Testing

How to reduce the cure time without damaging the rubber compound during injection molding?

Study on Wet Etching of AAO Template

Silicon-On-Glass MEMS. Design. Handbook

Use of Carbon Nanoparticles for the Flexible Circuits Industry

the runnerless types of molds are explained post molding operations are described the basic methods of applied decoration methods are examined

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

TAIYO PSR-4000 AUS703

Metal Ion + EDTA Metal EDTA Complex

CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS

VLSI Fabrication Process

FLEXIBLE CIRCUITS MANUFACTURING

4. Cryogenic gloves are generally designed to protect the hands from intense cold or heat.

UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES

hij GCSE Additional Science Chemistry 2 Higher Tier Chemistry 2H SPECIMEN MARK SCHEME Version 1.0

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Removing Heavy Metals from Wastewater

Lead & Magnet Wire Connection Methods Using the Tin Fusing Method Joyal A Division of AWE, Inc.

How to Avoid Conductive Anodic Filaments (CAF)

Problems in Welding of High Strength Aluminium Alloys

Solar Photovoltaic (PV) Cells

ORGANIC SAMPLE PREPARATION

Electron Beam and Sputter Deposition Choosing Process Parameters

Q&A. Contract Manufacturing Q&A. Q&A for those involved in Contract Manufacturing using Nelco Electronic Materials

Results Overview Wafer Edge Film Removal using Laser

Cartridge Filter Application Notes

In this experiment, we will use three properties to identify a liquid substance: solubility, density and boiling point..

Metals and Non-metals. Comparison of physical properties of metals and non metals

The soot and scale problems

Facts About. Industrial gases for better injection molding. This article appeared in the trade journal Kunststoffe plast europe, issue 12/2004.

Ion Beam Sputtering: Practical Applications to Electron Microscopy

SPACE CHARGE MEASUREMENTS IN XLPE INSULATED MID VOLTAGE CABLE: CORRELATION WITH CABLE PERFORMANCE

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

Common Defects in Digital Printing. Paul Geldenhuys & Amir Shapira January, 2009

Fabrication and Manufacturing (Basics) Batch processes

AMBERLITE IRP64 Pharmaceutical Grade Cation Exchange Resin (Polacrilex Resin)

Heat Treatment Process

SODIUM CARBOXYMETHYL CELLULOSE

h e l p s y o u C O N T R O L

SAFETY DATA SHEET EVO-STIK GRIPFILL SOLVENT FREE (Irl)

Best Practice in Boiler Water Treatment

Engine Bearing Materials

CHAPTER 7: REMEDIATION TECHNOLOGIES FOR CONTAMINATED GROUNDWATER

Air Eliminators and Combination Air Eliminators Strainers

Unit 12 Practice Test

Vacuum Pumping of Large Vessels and Modelling of Extended UHV Systems

Lezioni di Tecnologie e Materiali per l Elettronica

ETC -SV. Class 0 oil-free compressed air through catalysis

CYCLOTENE Advanced Electronics Resins (Photo BCB) Processing Procedures for 20µm Photo-BCB Layers Using XUS35078 type 3

1. PRODUCT AND COMPANY IDENTIFICATION

MATERIAL SAFETY DATA SHEET

ADHESIVES TAPES FOR CAR S INDUSTRY All tapes can be supplied in die cuts form and more tapes available

CAPITOLO III MATERIALI ASSEMBLATI E AUTOASSEMBLATI. Photonics and Biophotonics Organics Synthesis - PhoBOS

Transcription:

EQUIPMENT FOR THE CLEANING OF SILICON WAFERS USING SUPERCRITICAL FLUIDS V. PERRUT* (1), J.-Y. CLAVIER (2), S. LAZURE (3), A. DANEL (4), C. MILLET (5) (1) RECIF S.A., Z.I. du moulin 31840 Aussonne France Email: vincent.perrut@recif.com Fax: +33 (0) 5 61852766 (2) SEPAREX-Equipements, 9 rue J. Monod 54250 Champigneulles France (3) AIR LIQUIDE, Claude Delorme Research Center, 1 chemin de la porte des Loges, Les Loges-en-Josas 78354 Jouy-en-Josas, France (4) CEA DRT / LETI DTS, CEA Grenoble, 17 av. des Martyrs 38054 Grenoble cedex 9, France (5) ALTIS semiconductor, 224 bld J. Kennedy 91105 Corbeil-Essonnes France ABSTRACT Supercritical CO 2 has some promising applications in integrated circuits manufacturing. In order to evaluate them, a prototype dedicated to the treatment of silicon wafers of 200 mm diameter has been built and is presented here. INTRODUCTION In semiconductor manufacturing, the size reduction of advanced microelectronic devices leaded to the introduction of new materials for the Back-End Of the Line (BEOL) integration. High performances couple of conductor/insulator materials is requested to produce submicronic transistor interconnections. Thus, aluminium is now replaced by copper as conductor in advanced manufacturing, and porous ultra-low K dielectrics (ULK) are investigated as new insulators. Some integration processes, especially cleaning and stripping (the removal of photosensitive resin and residues following the lithography steps), have shown a detrimental impact on further integration steps and electrical performances of Cu/ULK structures [1]. This weakness comes from the fragility of the ULK towards plasmas and liquid-based chemistries. Furthermore, the porosity of ULK materials induces "reservoir" effects and strong diffusion issues. With its unique properties, supercritical CO 2 is one of the most promising alternatives or complements to dry and wet BEOL processes. In order to determine potential benefits and limits of supercritical fluids for semiconductor manufacturing, a prototype has been set-up in CEA-LETI clean room. This equipment has been built under a strong partnership: - Air Liquide: high purity supercritical CO 2 and co-solvents delivery to the point of use, separation ; - Separex and Recif: process chamber (autoclave and process functionalities) ; - CEA-Leti, Altis and Recif are in charge of process development.

I EQUIPMENT DESCRIPTION Main Characteristics The equipment presented in this work is designed for the evaluation of supercritical carbon dioxide and liquid additives implementation on silicon wafers of 200 mm diameter. A simplified process diagram and set-up is illustrated in figure 1. Pictures 2 and 3 shows the equipment and the wafer loading operation. Heat exchanger Laminar flow Co-solvent delivery Reaction Chamber Drain Exhaust Clean Room Plenum Gas cabinet Liquid CO 2 delivery High pressure pump (300 bar) Basement Figure 1: Supercritical CO 2 process diagram and set-up in CEA-LETI. CO 2 is continuously supplied by a gas cabinet containing 2 bottles of 50 l, with automated switching. CO 2 is then compressed by a high-pressure pump and sent to the equipment in the clean room. Additives are injected in the high-pressure line before the heating exchanger and the treatment autoclave. This autoclave is designed to receive a single wafer of 200 mm. Its opening and closure are automated. CO 2 is then vented through a flash separator, where residues and additives are recovered and evacuated to solvent disposal. As very different processes will be evaluated on this equipment, versatility and flexibility have been two priorities for the design of this unit: - Broad range of pressure and temperature: up to 300 bar and 100 C ; - Different configurations available for the autoclave inlet and outlet ; - Versatile recipe programming software ; - Possibility to implement several mechanical effects to enhance cleaning efficiency ; - Two liquid additive tanks for multi-step process.

Wafer contamination control Particles as small as 0.05 µm, metals, ions, and heavy organics are highly detrimental contaminations for advance integrated circuit manufacturing. For this reason, CO 2 and additives are ultra-pure and particlefree. Moreover, all parts in contact with these fluids have a high quality of finishing and high-purity polymeric materials are selected (no additives such as dyes, which could salt out during process). In addition, filtration is ensured with a retention efficiency of 99.9% at 0.01 µm (for gas), and a laminar flow prevents particle contamination during wafer loading in the autoclave. Clean Room Environment Figure 2: Prototype front view Process operation in a class 10 clean room implies serious constraints, either for functionality, security, or environment contamination control: - Full automation of the process ; - Fire detection and extinguishing system integrated in the machine ; - Equipment placed on a spill trough to contain condensed water or solvent leak ; - Machine covered and set in depression, in order to exhaust a potential gas leak or any particle generated by the process elements. Figure 3: Wafer loading in the chamber II. APPLICATIONS FOR ULK / Cu INTEGRATION In integrated circuits, semiconductor components are connected by a web of metallic contacts, either vertical (vias) or horizontal (lines). Figure 4 presents an interconnect structure of 3 levels of vias and lines. For advanced devices, as much as 9 levels of copper interconnections are realized.

Lines Vias Figure 4: SEM picture of a metal interconnect structure obtained by selective etching of the dielectric ( CEA-Léti) Lines and vias are typically 200 nm thick and wide. Metal lines are insulated to each other by a dielectric material. The properties of this material are a compromise between a low dielectric constant, a high mechanical and thermal resistance (it must support a temperature of 450 C during the curing of copper). Most dielectrics in development are nanoporous Methylsilsesquiloxane-based films, with a dielectric constant between 2.0 and 2.5. These films are deposited by chemical vapour deposition (CVD) or by spin-on of an organic solution. An open porosity is generated in plasma or by thermal cure. Figure 5 illustrates a generic dual damascene structure, before diffusion barrier and copper deposition. Metallic lines fill trenches and vias fill holes. The main damages of ULK occurring during integration are: - Poisoning and degradation during plasmas used for etching and stripping ; - Contamination of sidewalls and bulk of the material by copper during breakthrough of lower stop-layer ; - Diffusion of the copper diffusion barrier during CVD deposition (typically TiN); - Deposition of residues on sidewalls during etching (side wall polymers, including copper by-products during the breakthrough of the stop layer) ; TRENCH porous ULK - Poisoning during wet cleans. Stop layer Supercritical fluids can be used as complementary treatments to restore the dielectric material, by rinsing and curing deep inside the porosity. But they can also even challenge some of existing processes, like photoresist stripping or wet cleans. Curing - Depoisoning HOLE Copper porous ULK Stop layer Figure 5: conventional dual-damascene structure after stop layer breakthrough in BEOL. Walls of the ULK are particularly exposed to contamination. As deposited, a porous SiOCH (JSR LKD 51-09) is hydrophobic, characterized by a contact angle with water of about 105. Its dielectric constant is 2.2. Oxidizing plasmas used during dielectric etching or photoresist stripping modify the surface of the dielectric by converting

Si-CH 3 bonds to Si-OH bonds. This leads to a dramatic reduction of contact angle to a value of 3. Water contamination and OH bonds are observed by IR absorbance, and the dielectric constant rises from 2.2 to 4.5 5.5. Thermal annealing under vacuum at 425 C during 30 min allows a partial restoration of the dielectric constant at 2.8, because of incomplete and non-permanent water removal. On this dielectric layer, we performed an extraction of water with pure supercritical CO 2 followed by a short impregnation with hexamethyldisilizane (HMDS) at 1% weight concentration in CO 2 at 100 C and 80 bar. HMDS is known to react with -OH end-groups and form -O-Si-(CH 3 ) 3 hydrophobic end-groups. Contact angle of the dielectric was restored to 110 and then stable versus time. Ability of supercritical CO 2 was previously demonstrated to remove organic contaminants from the porous dielectric, and especially amines, responsible for lithography defects in following stages of integration (this is called resist poisoning) [2]. Copper contamination removal As explained before, the etching of the Cu stop layer provokes a back sputtering of copper particles on the sidewalls of the ULK. B. Xie & al. demonstrated that copper contamination on a silicon oxide layer can be addressed with hexafluoroacetylacetone (Hfac) dissolved in supercritical CO 2 [3]. In order to evaluate the efficiency of this process on a porous ULK, Cu (0) was deposited by CVD on a 300 nm thick layer of porous ULK (JSR LKD 51-09) on Si wafers. VPD-AAS (Vapour Phase Decomposition - Atomic Absorption Spectroscopy) of the wafers showed a contamination of a few 10 15 at/cm² of Cu. A part of the wafers were oxidised by UV/O 3 treatment in order to convert Cu (0) into CuO and Cu 2 O. Wafers were cleaned on a bench-scale equipment in static conditions at 180 bar and 61 C with 1000 ppm Hfac in an ethanol / CO 2 mixture. Cleaning results are presented on figure 6. The equipment reference is the Cu contamination brought by the bench-scale apparatus on a clean Si wafer. A cleaning efficiency of 99.7 % for Cu (0) is obtained in 5 min, confirming results published by B. Xie al. At / cm² 1.0E+16 1.0E+15 1.0E+14 1.0E+13 1.0E+12 Equipment Ref. Cu(0) Cu(I) Cu(II) 0 5 10 15 Time (min) Figure 6: Remaining Cu contamination vs cleaning time by Hfac in SC CO 2 Photoresist Stripping After lithography, remaining resist on the wafer and postetch residues can be compared to a crust, insoluble in any solvent, and typically removed in two steps by plasma oxidation (called "ashing") and wet cleaning for residues elimination. Selectivity of such treatments towards SiOC ULK is not sufficient, and new processes are appearing.

Many works deals with supercritical fluids stripping of photoresist [4], [5]. Classical methods to eliminate photoresist by supercritical CO 2 and co-solvents are diffusion, swelling and delaminating of the crust by pressure variations and/or fast decompression. Unfortunately, stripping efficiency by SC CO 2 and co-solvents mixtures presently published, are still lower than plasma ashing, especially for the removal of the residues. Three solutions are now under investigation: - Elaborate a chemistry to weaken the crust in supercritical CO 2 ; - Use additional mechanical effects to increase SC CO2 based stripping efficiency (with the risk to deteriorate the ULK layer and the very fine device structures) ; - Operate a preliminary soft ash of the photoresist by plasma before supercritical CO 2 cleaning. CONCLUSION This prototype will allow developing processes with supercritical CO 2 at pre-industrial scale for the manufacture of silicon wafers. Its efficiency for cleaning and stripping steps will be evaluated and compared to competing processes for the integration of the porous dielectric materials. ACKNOWLEDGEMENTS This communication is based upon work funded by the European Community under the MEDEA+ European Research Program. REFERENCES [1] Process induced damage in Cu and low k dielectrics, GABRIEL, C., Solid State Technology, vol. 43, sept. 2000 [2] Compatibility of supercritical CO 2 based stripping with porous ultra low k materials and copper, MILLET, C. & al., Proceedings of UCPSS, 2002 [3] The removal of metallic copper from silicon surfaces using hexafluoroacetylacetone (hfach) dissolved in supercritical CO 2, XIE, B. & al., Submitted to Chemistry of Materials, July 2002 [4] RUBIN, J. B., DAVENHALL, L. B., TAYLOR, C. M. V., DALE SIVILS, L., PIERCE, T., Proceedings of the IEEE Symposium on electronics and the environment, 1999, p. 13 [5] Using supercritical CO 2 to remove heavy organics, SEHGAL, A., YALAMANCHILI, M. R., MILLET, C., DANEL, A., TARDIF, F., Proceedings of UCPSS, 2002