SMART SENSOR TECHNOLOGIES

Size: px
Start display at page:

Download "SMART SENSOR TECHNOLOGIES"

Transcription

1 2 SMART SENSOR TECHNOLOGIES Dr. H. K. Verma Distinguished Professor (EEE) Sharda University, Greater Noida (Formerly: Deputy Director and Professor of Instrumentation Indian Institute of Technology Roorkee) 1

2 q IC Technologies Ø Originally developed for producing microelectronic components and circuits Ø If sensor is an electrical or electronic device (e.g. a piezo-resistive strain gauge or a siliconjunction temperature sensor), then the complete sensor can be produced using IC technologies alone. q Micromachining Technologies Ø Originally developed for producing micromechanical components and systems Ø Used only for producing sensing elements in the form of a micro-mechanical structure or a micro-electro-mechanical system (MEMS). 2

3 2.1 IC Technologies & Capabilities IC Technologies Thick-film Technology Thin-film Technology Monolithic IC Technology Capabilities R, C & L Conductors Sensor elements Sensor supports Capabilities R & C Conductors Sensor elements Capabilities R & C Diodes & transistors Conductors Sensor elements 3

4 2.2 Thick-Film Technology Process : Print Dry Fire Trim Finish Materials: Substrate + Paste or Ink + Printing screen Paste : Suspended particles of selected material + Dispersed in an organic solvent + Glass frit 4

5 Thick-Film Technology Particle Materials Conductive For interconnections & small inductances Resistive For resistances and sensors Dielectric For capacitors and some sensors Other materials For some sensors and sensor supports 5

6 Thick-Film Pastes & Substrates v Low-Temperature Pastes Ø Melting Point: Less than C Ø Substrate : Plastic materials Glass fibre with epoxy Anodized aluminum v Medium-Temperature Pastes Ø Melting Point: C Ø Substrate: Low carbon steel with porcelain enamel coating v High-Temperature Pastes Ø Melting Point: C Ø Substrate : Ceramic 6

7 Thick-Film Technology Thick-Film Process Take substrate Take printing screen & paste Print as thick film on substrate Dry the printed film at C Fire on conveyer-belt furnance Screen printing Comp. width = µm Comp. thickness=10-25 µm Removes the organic solvent Metal powder sinters and glass frit melts thereby bonding the film to the substrate Next Repeat with another paste and printing screen until all films are deposited Thick-film components ready Tolerance = 10 20% Trim components Add IC chips Smart Sensor is ready By abrasion or laser vaporization Tolerance = % 7

8 Thick-Film Sensor Elements (successfully developed / manufactured) q Temperature Sensors q Pressure Sensors : Film RTD, film thermistor, film thermocouple : Film diaphragms & film capacitors Piezo-electric & piezo-resistive pastes q Light Sensors : Photo-conductive pastes q Magnetic Sensors : Magneto-resistive pastes q Humidity Sensors : Organic polymer based pastes q Gas Sensors : Metal-oxide pastes 8

9 Advantages of Thick Film Technology q Almost any material can be deposited as a thick film q Low-value resistances and high-value capacitances possible q Small inductances possible q Special features of thick-film sensors Ø Can withstand high temperatures Ø Allow large voltage / current excitation Ø Heaters can be integrated Ø Economically competitive for low-volume production 9

10 Limitations of Thick Film Technology q Active components cannot be produced q Size of thick-film components is very large as compared to thin-film components q Not suitable for medium and large scale production 10

11 2.3 Thin-Film Technology q Film thickness: 1 25 µm q Process: Deposit thin-film by vacuum evaporation or other similar technique q Patterns: By masking q No printing, drying, firing and trimming 11

12 Thin-Film Technology Substrate q High purity alumina q Low alkalinity glass q Silicon q Silicon oxide 12

13 Thin-Film Technology Deposition Techniques q Vacuum evaporation q Spin casting q Sputtering or cathodic deposition q Reactive growth q Chemical vapour deposition q Plasma deposition 13

14 Thin-Film Technology Thin-Film Materials q For conductors: Aluminium or gold q For resistors: Nichrome q For dielectrics: Silicon dioxide q For sensors (some examples): Ø Strain gauge: Nichrome, polycrystalline silicon Ø RTD: Platinum Ø Conductivity sensor: Platinum Ø Gas sensors: Zinc oxide Ø Piezoresistive pressure sensor: Nichrome, polycrystalline silicon Ø Magnetoresistive magnetic sensor: Nickel, Cobalt, Iron alloys Ø Thermo-anemometric flow sensor: Gold 14

15 Thin-Film Components q Thin-film resistors q MOS capacitors q Thin-film conductors q Thin-film sensors 14

16 Advantages of Thin-Film Technology q Almost any metal can be deposited as thin film q Miniaturization q Suitable for adding resistances, capacitances and sensors to monolithic IC q Suitable for low and medium volume production 16

17 Limitations of Thin Film Technology q Active components cannot be produced q Size of thin-film components is very large as compared to monolithic IC components q Not suitable for high-volume production 17

18 2.4 Monolithic IC Technology q Processes: Epitaxial growth Silicon-oxide layer formation Photolithographic etching Planar diffusion of dopants Metallization (vacuum evaporation of aluminium) Stitch bonding q Substrate: Wafer of silicon (less used are Ge and GaAs) q Dimensions: Sub-micrometric, nano-metric q Capability: R, C, diodes, transistors, conductors, and silicon sensors 18

19 Monolithic IC Process: Steps Step I: Epitaxial growth Step II: Isolation diffusion Step III: Base diffusion Step IV: Emitter diffusion Step V: Metallization Step VI: Packaging (optional) 19

20 Monolithic IC Process: Flowchart Substrate 150 µm thick, p type, Si wafer I Grow epitaxial layer at the top of substrate 25 µm thick, singlecrystal, n type Form SiO 2 layer at the top of epitaxial layer By heating in oxygen atmosphere at C II Remove SiO 2 layer selectively using photolithographic etching Remaining SiO 2 serves as mask Diffuse p-impurity (boron) through windows in SiO 2 mark Islands or isolated regions of n-type Si are formed A 20

21 Monolithic IC Process: Flowchart (contd ) A III Form second SiO 2 layer at the top Open second set of windows in SiO 2 layer (mask) Diffuse p-impurity through openings in mask The regions are: base of transistors, anode of diodes, resistances IV Form third SiO 2 layer at the top Open third set of windows in SiO 2 layer (mask) Diffuse n-impurity phosphorus through openings in mask B The regions are: emitter of transistors, cathode of diodes, contact regions (Contd ) 21

22 Monolithic IC Process: Flowchart (contd ) B V Form fourth SiO 2 layer at the top Open fourth set of windows in SiO 2 layer (mask) Deposit aluminium layer over entire surface (penetrates into openings) and remove unwanted portions For metallic contacts to make inter-component & external connections Using vacuum evaporation of Al followed by etching VI Scribe wafer to separate individual chips (ICs) Mount chip on ceramic wafer and attach to a suitable header Connect terminal pads on IC to Package leads by stitch bonding Using diamond-tipped tool Using Al or gold wire Packaged Chip 22

23 Advantages of Monolithic IC Technology q Both active and passive devices q Miniaturization: very high density of devices q Suitable for large, very large and ultra large scale integration q Silicon sensors made alongwith integrated circuit on same chip q High repeatability (consistency) q Very cheap if produced in high volumes 23

24 Limitations of Monolithic IC Technology q Sensors of silicon only q Resistances in medium-range only q Resistances have large temperature coefficient q Capacitors of small values only q Capacitors have some shortcomings q Too expensive for low-volume production 24

25 2.5 Micromachining Technologies Micromachining Processes Bulk micromachining Surface micromachining Wafer bonding Other processes 25

26 Bulk Micromachining q Significant amounts of material are removed by chemical etching from relatively thick substrate q Substrate is usually silicon crystal; sometimes glass, quartz, germanium or gallium arsenide is used q Substrate (wafer) is etched on single side or both sides q Etching done with masks and etchants solutions q Masking by photolithographic etching technique q Etching processes: a) Isotropic etching b) Anistropic etching 26

27 Etching Processes for Bulk Micromachining (a) Isotropic Etching Ø Etchants used have the same etching rate for all crystallographic orientations of silicon wafer (crystal) Ø Common Etchants: Sulfur hexafluoride (SF6) and Hydrogen fluoride (HF) Ø Common Structures Produced: Cantilever, semi-spherical cavity (b) Anistropic Etching Ø Etchants used have different etching rates for different crystallographic orientations of silicon wafer (crystal) Ø Common Etchants: Ethylene-diamine pyrocatechol (EDP) and potassium hydroxide (KOH) Ø Common Structure Produced: Diaphragm 27

28 Surface Micromachining q 3-dimensional structures built by stacking layers q Layers are deposited using vacuum evaporation or other process and removed using chemical etching q All etching and depositing processes are carried out on one surface only q Layers used: a) Structural layers: Retained in the final structure b) Sacrificial layers: Sacrificed during the process q Intricate structures produced by using two types of layers q Substrate is usually Si; sometimes glass is used q Silicon oxide and silicon nitride used for masking 28

29 Wafer Bonding Used for bonding two silicon wafers or two materials to produce complex structures: Ø Silicon-on-silicon bonding Ø Silicon-on-silicon dioxide bonding Ø Silicon-on-glass bonding Silicon-on-Silicon bonding Silicon-on-SiO2 bonding Silicon-on-glass bonding Adhesive bonding Anodic bonding Fusion bonding Anodic bonding Anodic bonding 29

30 Other Micromachining Processes q LIGA (Lithographie Galvonoformung Abformung) process q DRIE (Deep Reactive Ion Etching) process q Plasma etching q Micro-milling 30

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

COATED CARBIDE. TiN. Al 2 O 3

COATED CARBIDE. TiN. Al 2 O 3 COATED CARBIDE GENERAL INFORMATION CVD = Chemical Vapour Deposition coated grades GC2015, GC2025, GC2135, GC235, GC3005, GC3015, GC3020, GC3025, GC3115, GC4015, GC4025, GC4035, S05F, and CD1810. PVD =

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Lecture 9. Surface Treatment, Coating, Cleaning

Lecture 9. Surface Treatment, Coating, Cleaning 1 Lecture 9. Surface Treatment, Coating, Cleaning These processes are sometimes referred to as post-processing. They play a very important role in the appearance, function and life of the product. Broadly,

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Heather Schriner, Brady Davies, Jeffry Sniegowski, M. Steven Rodgers, James Allen, Charlene Shepard Sandia National Laboratories

More information

MEMS Overview. What is MEMS?

MEMS Overview. What is MEMS? MEMS Overview SPEAKER Andrew Mason, Asst. Professor in Electrical and Computer Engineering TOPIC Overview of Micro-Electro-Mechanical Systems (MEMS) OUTLINE Overview of MEMS & Microsystems Navid Yazdi

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

Lapping and Polishing Basics

Lapping and Polishing Basics Lapping and Polishing Basics Applications Laboratory Report 54 Lapping and Polishing 1.0: Introduction Lapping and polishing is a process by which material is precisely removed from a workpiece (or specimen)

More information

Deposition Overview for Microsytems

Deposition Overview for Microsytems Deposition Overview for Microsytems Deposition PK Activity Terminology Participant Guide www.scme-nm.org Deposition Overview for Microsystems Primary Knowledge Participant Guide Description and Estimated

More information

Fabrication of PN-Junction Diode by IC- Fabrication process

Fabrication of PN-Junction Diode by IC- Fabrication process Fabrication of PN-Junction Diode by IC- Fabrication process Shailesh siddha 1, Yashika Chander Pareek 2 M.Tech, Dept of Electronics & Communication Engineering, SGVU, Jaipur, Rajasthan, India 1 PG Student,

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

The MOSFET Transistor

The MOSFET Transistor The MOSFET Transistor The basic active component on all silicon chips is the MOSFET Metal Oxide Semiconductor Field Effect Transistor Schematic symbol G Gate S Source D Drain The voltage on the gate controls

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators Dr Peter Hockley and Professor Mike Thwaites, Plasma Quest Limited

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

VLSI Fabrication Process

VLSI Fabrication Process VLSI Fabrication Process Om prakash 5 th sem ASCT, Bhopal omprakashsony@gmail.com Manisha Kumari 5 th sem ASCT, Bhopal Manisha2686@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This

More information

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology

Deposition of Silicon Oxide, Silicon Nitride and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology General Plasma, Inc. 546 East 25th Street Tucson, Arizona 85713 tel. 520-882-5100 fax. 520-882-5165 and Silicon Carbide Thin Films by New Plasma Enhanced Chemical Vapor Deposition Source Technology M.

More information

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Le nanotecnologie: dal Laboratorio al Mercato Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Materials & Processes for micro nanotechnologies Laboratory http://www.polito.it/micronanotech

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4 Wafer Manufacturing Reading Assignments: Plummer, Chap 3.1~3.4 1 Periodic Table Roman letters give valence of the Elements 2 Why Silicon? First transistor, Shockley, Bardeen, Brattain1947 Made by Germanium

More information

ELG4126: Photovoltaic Materials. Based Partially on Renewable and Efficient Electric Power System, Gilbert M. Masters, Wiely

ELG4126: Photovoltaic Materials. Based Partially on Renewable and Efficient Electric Power System, Gilbert M. Masters, Wiely ELG4126: Photovoltaic Materials Based Partially on Renewable and Efficient Electric Power System, Gilbert M. Masters, Wiely Introduction A material or device that is capable of converting the energy contained

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Untitled Document. 1. Which of the following best describes an atom? 4. Which statement best describes the density of an atom s nucleus?

Untitled Document. 1. Which of the following best describes an atom? 4. Which statement best describes the density of an atom s nucleus? Name: Date: 1. Which of the following best describes an atom? A. protons and electrons grouped together in a random pattern B. protons and electrons grouped together in an alternating pattern C. a core

More information

Barrier Coatings: Conversion and Production Status

Barrier Coatings: Conversion and Production Status Transparent SiO 2 Barrier Coatings: Conversion and Production Status E. Finson and J. Felts, Airco Coating Technology, Concord, CA Keywords: Permeation barrier coatings; Reactive evaporation; SiO 2 ABSTRACT

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

8 Cobalt in Electronics

8 Cobalt in Electronics 8 Cobalt in Electronic technology can be divided into 8 sectors: Integrated circuits (packages of components on a microchip); discrete semi-conductors; vacuum tubes; magnetic tapes, resistors; transformers;

More information

Materials for MEMS and Microsystems

Materials for MEMS and Microsystems Chapter 7 Materials for MEMS and Microsystems CHAPTER OUTLINE 7.1 Introduction 7.2 Substrates and Wafers 7.3 Active Substrate Materials 7.4 Silicon as a Substrate Material 7.4.1 The Ideal Substrate for

More information

Printed Circuit Board Recycling Methods

Printed Circuit Board Recycling Methods Printed Circuit Board Recycling Methods 1. Introduction to Printed Circuit Boards The Printed Circuit Board (PCB 1 ) manufacturing process is very complicated, involving many special chemicals and valuable

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

Module 3 Machinability. Version 2 ME, IIT Kharagpur

Module 3 Machinability. Version 2 ME, IIT Kharagpur Module 3 Machinability Lesson 1 Cutting Tool Materials of common use Instructional Objectives At the end of this lesson, the students will be able to (i) Identify the needs and cite the chronological development

More information

How compact discs are made

How compact discs are made How compact discs are made Explained by a layman for the laymen By Kevin McCormick For Science project at the Mountain View Los Altos High School Abstract As the major media for music distribution for

More information

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Kenji MAWATARI, Koich SAMESHIMA, Mitsuyoshi MIYAI, Shinya MATSUDA Abstract We developed a new inkjet head by applying MEMS

More information

Electron Beam and Sputter Deposition Choosing Process Parameters

Electron Beam and Sputter Deposition Choosing Process Parameters Electron Beam and Sputter Deposition Choosing Process Parameters General Introduction The choice of process parameters for any process is determined not only by the physics and/or chemistry of the process,

More information

Formation of solids from solutions and melts

Formation of solids from solutions and melts Formation of solids from solutions and melts Solids from a liquid phase. 1. The liquid has the same composition as the solid. Formed from the melt without any chemical transformation. Crystallization and

More information

IBS - Ion Beam Services

IBS - Ion Beam Services IBS - Ion Beam Services Profile Technologies Devices & sensor fabricat ion Participation to R&D programs Researched partnership Présentation activité composant 1 Profile : Products and services Product

More information

UNIT 4 METAL COATING PROCESSES

UNIT 4 METAL COATING PROCESSES UNIT 4 METAL COATING PROCESSES Structure 4.1 Introduction Objectives 4.2 Metal and Non-metal Coatings 4.2.1 Metallic Coatings 4.2.2 Non-Metallic Coatings 4.3 Electroforming 4.4 Galvanizing 4.5 Anodizing

More information

Lecture 30: Cleanroom design and contamination control

Lecture 30: Cleanroom design and contamination control Lecture 30: Cleanroom design and contamination control Contents 1 Introduction 1 2 Contaminant types 2 2.1 Particles.............................. 2 2.2 Metal ions............................. 4 2.3 Chemicals.............................

More information

FLEXIBLE CIRCUITS MANUFACTURING

FLEXIBLE CIRCUITS MANUFACTURING IPC-DVD-37 FLEXIBLE CIRCUITS MANUFACTURING Below is a copy of the narration for DVD-37. The contents of this script were developed by a review group of industry experts and were based on the best available

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Grade Selection... Coated Grades / CVD... Coated Grades / PVD... Cermet... PCBN (T-CBN)... PCD (T-DIA)... Ceramics...

Grade Selection... Coated Grades / CVD... Coated Grades / PVD... Cermet... PCBN (T-CBN)... PCD (T-DIA)... Ceramics... Products Grade Selection... Coated / CVD... Coated / PVD... Cermet... PCBN (T-CBN)... PCD (T-DIA)... Ceramics... Uncoated Cemented Carbides... Ultra fine Grain Cemented Carbides... -2-4 -6-8 -0-2 - -4-5

More information

GaN IC Die Handling, Assembly and Testing Techniques

GaN IC Die Handling, Assembly and Testing Techniques GaN IC Die Handling, Assembly and Testing Techniques Page 1 of 9 1. Scope This document describes the storage and handling requirements for GaN IC chips. It also describes recommended assembly and testing

More information

FEATURE ARTICLE. Figure 1: Current vs. Forward Voltage Curves for Silicon Schottky Diodes with High, Medium, Low and ZBD Barrier Heights

FEATURE ARTICLE. Figure 1: Current vs. Forward Voltage Curves for Silicon Schottky Diodes with High, Medium, Low and ZBD Barrier Heights PAGE 1 FEBRUARY 2009 Schottky Diodes by Rick Cory, Skyworks Solutions, Inc. Introduction Schottky diodes have been used for several decades as the key elements in frequency mixer and RF power detector

More information

CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS

CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS 5.1 INTRODUCTION The manufacturing plant considered for analysis, manufactures Printed Circuit Boards (PCB), also called Printed Wiring Boards (PWB), using

More information

Ultra High Temperature, Miniature, SOI Sensors for Extreme Environments

Ultra High Temperature, Miniature, SOI Sensors for Extreme Environments Ultra High Temperature, Miniature, SOI Sensors for Extreme Environments Anthony D. Kurtz 1, Alexander A. Ned 1, and Alan H. Epstein 2 1 2 MIT 1 Willow Tree Road Room 31265 Leonia, NJ 07605 60 Vassar Street

More information

How to measure absolute pressure using piezoresistive sensing elements

How to measure absolute pressure using piezoresistive sensing elements In sensor technology several different methods are used to measure pressure. It is usually differentiated between the measurement of relative, differential, and absolute pressure. The following article

More information

White Paper. Moisture in Hermetic Packages By Craig Hillman, PhD

White Paper. Moisture in Hermetic Packages By Craig Hillman, PhD White Paper Moisture in Hermetic Packages By Craig Hillman, PhD Moisture in Hermetic Packages Hermetic packaging of micro-electronic and opto-electronic devices is commonly utilized to protect the devices

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

Graphene a material for the future

Graphene a material for the future Graphene a material for the future by Olav Thorsen What is graphene? What is graphene? Simply put, it is a thin layer of pure carbon What is graphene? Simply put, it is a thin layer of pure carbon It has

More information

Active Nanocomposite Materials

Active Nanocomposite Materials Active Nanocomposite Materials Contact: Prof. Jorma Jokiniemi (jorma.jokiniemi@uef.fi) The aim of this project was to develop tailored functional nanocomposite materials for industrial applications. The

More information

Balzers Sputter Coater SCD 050

Balzers Sputter Coater SCD 050 Balzers Sputter Coater SCD 050 The SCD 050 is a bench top, sputter deposition system designed for thin films on substrates up to 6 inches. Morphology and thickness is user controlled using power, pressure,

More information

WW12X, WW08X, WW06X, WW04X ±1%, ±5% Thick Film Low ohm chip resistors

WW12X, WW08X, WW06X, WW04X ±1%, ±5% Thick Film Low ohm chip resistors WW12X, WW08X, WW06X, WW04X ±1%, ±5% Thick Film Low ohm chip resistors Size 1206, 0805, 0603, 0402 *Contents in this sheet are subject to change without prior notice. Page 1 of 8 ASC_WWxxX_V12 Nov.- 2011

More information

the runnerless types of molds are explained post molding operations are described the basic methods of applied decoration methods are examined

the runnerless types of molds are explained post molding operations are described the basic methods of applied decoration methods are examined Training Objectives After watching the video and reviewing this printed material, the viewer will gain knowledge and understanding of the various plastic finishing processes used in industry and their

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

Tableting Punch Performance Can Be Improved With Precision Coatings

Tableting Punch Performance Can Be Improved With Precision Coatings Tableting Punch Performance Can Be Improved With Precision Coatings by Arnold H. Deutchman, Ph. D. Director of Research and Development (614) 873-4529 X 114 adeutchman@beamalloy.net Mr. Dale C. Natoli

More information

Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor

Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor U. Hofmann, Fraunhofer ISIT Itzehoe M. Aikio, VTT Finland Abstract Low cost laser scanners for environment

More information

Guide for Molded Tantalum Capacitors

Guide for Molded Tantalum Capacitors Guide for Molded Tantalum Capacitors INTRODUCTION Tantalum electrolytic capacitors are the preferred choice in applications where volumetric efficiency, stable electrical parameters, high reliability,

More information

Chapter 10 Circuit Manufacture

Chapter 10 Circuit Manufacture RF Electronics Chapter 10: Circuit Manufacture Page 1 Introduction Chapter 10 Circuit Manufacture Printed Circuits Boards consist of an insulating material forming the PCB substrate onto which conductive

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

T.M.M. TEKNIKER MICROMACHINING

T.M.M. TEKNIKER MICROMACHINING T.M.M. TEKNIKER MICROMACHINING Micro and Nanotechnology Dapartment FUNDACION TEKNIKER Avda. Otaola. 20 Tel. +34 943 206744 Fax. +34 943 202757 20600 Eibar http://www.tekniker.es TMM FACILITIES -Clean Room

More information

High power picosecond lasers enable higher efficiency solar cells.

High power picosecond lasers enable higher efficiency solar cells. White Paper High power picosecond lasers enable higher efficiency solar cells. The combination of high peak power and short wavelength of the latest industrial grade Talisker laser enables higher efficiency

More information

Semiconductors, diodes, transistors

Semiconductors, diodes, transistors Semiconductors, diodes, transistors (Horst Wahl, QuarkNet presentation, June 2001) Electrical conductivity! Energy bands in solids! Band structure and conductivity Semiconductors! Intrinsic semiconductors!

More information

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius JePPIX Course Processing Wet and dry etching processes Huub Ambrosius Material removal: etching processes Etching is done either in dry or wet methods: Wet etching uses liquid etchants with wafers immersed

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Polymer Termination. Mechanical Cracking 2. The reason for polymer termination. What is Polymer Termination? 3

Polymer Termination. Mechanical Cracking 2. The reason for polymer termination. What is Polymer Termination? 3 Polymer Termination An alternative termination material specifically designed to absorb greater levels of mechanical stress thereby reducing capacitor failures associated with mechanical cracking Mechanical

More information

Photovoltaics photo volt Photovoltaic Cells Crystalline Silicon Cells Photovoltaic Systems

Photovoltaics photo volt Photovoltaic Cells Crystalline Silicon Cells Photovoltaic Systems 1 Photovoltaics Photovoltaic (PV) materials and devices convert sunlight into electrical energy, and PV cells are commonly known as solar cells. Photovoltaics can literally be translated as light-electricity.

More information

Basic Properties and Application Examples of PGS Graphite Sheet

Basic Properties and Application Examples of PGS Graphite Sheet Basic Properties and Application Examples of 1. Basic properties of Graphite sheet 2. Functions of Graphite sheet 3. Application Examples Presentation [Sales Liaison] Panasonic Electronic Devices Co.,

More information

XFA 600 Thermal Diffusivity Thermal Conductivity

XFA 600 Thermal Diffusivity Thermal Conductivity XFA 600 Thermal Diffusivity Thermal Conductivity Thermal Diffusivity, Thermal Conductivity Information of the thermo physical properties of materials and heat transfer optimization of final products is

More information

The Focused Ion Beam Scanning Electron Microscope: A tool for sample preparation, two and three dimensional imaging. Jacob R.

The Focused Ion Beam Scanning Electron Microscope: A tool for sample preparation, two and three dimensional imaging. Jacob R. The Focused Ion Beam Scanning Electron Microscope: A tool for sample preparation, two and three dimensional imaging Jacob R. Bowen Contents Components of a FIB-SEM Ion interactions Deposition & patterns

More information

TC50 High Precision Power Thin Film chip resistors (RoHS compliant Halogen Free) Size 1206, 0805, 0603

TC50 High Precision Power Thin Film chip resistors (RoHS compliant Halogen Free) Size 1206, 0805, 0603 WF2Q, WF08Q, WF06Q ±%, ±0.5%, ±0.25%, ±0.%, ±0.05% TC50 High Precision Power Thin Film chip resistors (RoHS compliant Halogen Free) Size 206, 0805, 0603 *Contents in this sheet are subject to change without

More information

Etching and Pattern Transfer (1) OUTLINE. 6.152J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1.

Etching and Pattern Transfer (1) OUTLINE. 6.152J / 3.155J -- Spring Term 2005 Lecture 12 - Etch and Pattern Transfer I (Wet Etch) 1. 6.15JST05.Lecture1-1 1 Etching and Pattern Transer (1) OUTLINE Basic Concepts o Etching Wet Etching Speciic Wet Etches Silicon Silicon Dioxide Aluminum Dry (Plasma) Etch eview o Plasmas eading Assignment:

More information

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie Recent developments in high bandwidth optical interconnects Brian Corbett Outline Introduction to photonics for interconnections Polymeric waveguides and the Firefly project Silicon on insulator (SOI)

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-527: MicroFabrication Wet Etching Outline Isotropic Si etching Anisotropic Si etching Anisotropic GaAs etching Isotropic etching of SiO 2, Al, and Cr General features of wet chemical etching Selective

More information

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices Rapid Prototyping and Development of Microfluidic and BioMEMS Devices J. Sasserath and D. Fries Intelligent Micro Patterning System Solutions, LLC St. Petersburg, Florida (T) 727-522-0334 (F) 727-522-3896

More information

How MOCVD. Works Deposition Technology for Beginners

How MOCVD. Works Deposition Technology for Beginners How MOCVD Works Deposition Technology for Beginners Contents MOCVD for Beginners...3 MOCVD A Definition...4 Planetary Reactor Technology...5 Close Coupled Showerhead Technology...6 AIXTRON MOCVD Production

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

Application Notes FREQUENCY LINEAR TUNING VARACTORS FREQUENCY LINEAR TUNING VARACTORS THE DEFINITION OF S (RELATIVE SENSITIVITY)

Application Notes FREQUENCY LINEAR TUNING VARACTORS FREQUENCY LINEAR TUNING VARACTORS THE DEFINITION OF S (RELATIVE SENSITIVITY) FREQUENY LINEAR TUNING VARATORS FREQUENY LINEAR TUNING VARATORS For several decades variable capacitance diodes (varactors) have been used as tuning capacitors in high frequency circuits. Most of these

More information

Integrated Circuit Packaging and Thermal Design

Integrated Circuit Packaging and Thermal Design Lezioni di Tecnologie e Materiali per l Elettronica Integrated Circuit Packaging and Thermal Design Danilo Manstretta microlab.unipv.it danilo.manstretta@unipv.it Introduction to IC Technologies Packaging

More information

AC coupled pitch adapters for silicon strip detectors

AC coupled pitch adapters for silicon strip detectors AC coupled pitch adapters for silicon strip detectors J. Härkönen1), E. Tuovinen1), P. Luukka1), T. Mäenpää1), E. Tuovinen1), E. Tuominen1), Y. Gotra2), L. Spiegel2) Helsinki Institute of Physics, Finland

More information

High performance. Architectural glazings utilise thin. low-emissivity coating. Coating technology

High performance. Architectural glazings utilise thin. low-emissivity coating. Coating technology Coating technology High performance low-emissivity coating Growing concern with energy efficiency has sparked the development of double low-emissivity coatings in architectural glass. BOC Coating has designed

More information

Part Marking Instructions Chip Resistors

Part Marking Instructions Chip Resistors Chip Resistors Part Marking Instructions Part Marking Instructions Chip Resistors 1% Marking The nominal resistance is marked on the surface of the overcoating with the use of 4 digit markings. 0201 and

More information

OLED display. Ying Cao

OLED display. Ying Cao OLED display Ying Cao Outline OLED basics OLED display A novel method of fabrication of flexible OLED display Potentials of OLED Suitable for thin, lightweight, printable displays Broad color range Good

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information