Obtaining Accurate Surface Measurements. Bruker Nano Surfaces Division Dr. Erik Novak February 2012

Size: px
Start display at page:

Download "Obtaining Accurate Surface Measurements. Bruker Nano Surfaces Division Dr. Erik Novak February 2012"

Transcription

1 Obtaining Accurate Surface Measurements Bruker Nano Surfaces Division Dr. Erik Novak February 2012

2 Outline Overview of precision, stability, accuracy Factors affecting data quality and metrology results Conclusion 2/28/2012 2

3 Overview of Precision, Stability, and Accuracy Precision measurement tool dependent/internal characteristic Resolution? Stability Measurement tool dependent/internal characteristic influenced by environment and other factors Accuracy Calibrate to an external standard (usually) - how well does my instrument do with respect to THE TRUTH? Best metrology is had when tools are precise, stable and accurate! 2/28/2012 3

4 Several Factors Influence Data Quality for Surface Metrology Instrument/Environment Internal mechanics, noise floor of detection mechanism, stability of hardware and precision of motion (if motion is present) Calibration Temperature/vibration considerations Test Surface/Sample Fixturing! Surface features (structure, heights, slopes) Surface roughness, form, waviness components THESE ARE NOT ALWAYS INDEPENDENT!!! Analysis and Computations with Data Measurement produces a representation of the test surface Filtering and computation methods 2/28/2012 4

5 Accurate Metrology is a Key Component of Product Success for Many Applications Precision Machining Optics MEMS and Semiconductor Data Storage MEMS Cantilevers Microfluidic Channel Knee Implant Cotton Cloth Holographic Film Currency Clutch Plate 2/28/2012 5

6 Instrument Factors Lateral Calibration is Key to Accuracy Lateral Instrument Calibration With optimized internal mechanics overall accuracy depends on calibration of lateral and vertical motion Lateral calibration can be accomplished several ways: Scanning stage (or making single FOV measurement) and measuring periodic sample of known pitch (optical or stylus) Measuring a known radius part and ensuring the radius of curvature calculation is correct 2/28/2012 6

7 Instrument Factors Vertical Calibration is Key to Accuracy Vertical Instrument Calibration Measurement accuracy can be verified by setup of measurement of known height sample (step standard, for example) The motor steps/unit measurement are computed based on measurement result for a known step with associated uncertainty Use a step that is close to the feature heights of interest. Ensure you calibrate the same way every time 2/28/2012 7

8 Bruker ContourGT-X8 Continuously Calibrates for Highest Accuracy ContourGT-X8 Offers Continuous Self Calibration Laser tracks scanner motion by interference with reference signal reflection Accuracy traceable to known He-Ne wavelength Second-level traceable standard Measurement Signals CCD Reference signal module Mirror Reference signal detector(s) Laser Beamsplitter Reference mirror Illuminator Mirror on the scanner Sample 2/28/2012 8

9 Bruker ContourGT-X8 Continuously Calibrates for Highest Accuracy ContourGT-X8 Offers Continuous Self Calibration Minimizes impact of irregularity in scan mechanism Minimized impact of drift of scanner Uncertainty in nm of 50 um step measurement Uncertainty in % of 50 um step measurement % Uncertainty (nm) Uncertainty (%) 0.50% 0.40% 0.30% 0.20% 0.10% 0 Continuous Calibration Without Continous Calibration 0.00% Continuous Calibration Without Continous Calibration 2/28/2012 9

10 Continuous Calibration Reduces Uncertainty in Step Measurement Result 2/28/

11 Environmental Factors - Temperature Effects Minimized via Control or Calibration Operating environment control minimizes effects Continuous calibration provides excellent correction 2/28/

12 Instrument Factors Slope and Lateral Resolutions Vary With Options Key parameters are available for 3D microscopes and stylus that help understand tradeoffs of different instrument options Matching the instrument settings to the target is key to obtaining accurate and repeatable results 200nm lines 70 degree sloped screw threads 12

13 Instrument Factors - Height Capability Can Vary 3D Microscopes determine a signal peak as you move through focus Pictures below show signal for a single measurement line as you move through focus Traces show a smooth surface measured with 3D microscopes using interferometry (top) and confocal (bottom) technologies 1X 2.5X 5X 10X 20X 50X 100X Ra=4 nm Ra=4 nm Ra=4 nm Ra=4 nm Ra=4 nm Ra=4 nm Ra=4 nm Not Usable Not Usable Ra=472nm Ra=74nm Ra=12nm Ra=7nm Ra=4nm 13

14 Environmental Factors - Vibration Effects Should Be Understood Vibration can cause fringes in WLI based instrument to print through Typically results in errors of a few 10 s of nm to a few 100 s of nm if severe Isolation table or damping mechanism employed to minimize Avoid drafting from HVAC units, clean hoods 2/28/

15 Noise in measurements random noise loses against averaging With random (most) noise, noise will reduce by the square root of the number of averages. Averaging can help see finer detail than is otherwise possible. Difference measurements can tell you the noise floor you are achieving. Averaging may not help in loud or high vibration environments ContourGT-X8 can achieve a 0.015nm noise floor Ra of Difference Measurement vs. # of averages Ra (nm # of Averages 2/28/

16 Smooth surfaces internal optical reference subtraction is key Smooth surfaces with small variation in shape/roughness benefit from instrument reference subtraction Use minimum 4 locations, 4 averages Subtracts out common element between measurements from future ones Essential for stitching super-smooth objects (wafers, mirrors, etc.) 2/28/

17 Smooth surfaces internal optical reference for spheres works well With steep slopes, errors from the optics will have some effect Effect is typically <80nm For very smooth objects, this error can affect stitching or certain surface calculations User can generate a reference using a random ball method Measure multiple locations on a sphere of the correct target radius Average the results Subtract the base curvature and save the residual as the reference file Reduces shape effects to <5nm 2/28/

18 Sample Considerations for Accurate Metrology How Should I Fixture? Vacuum is excellent choice where possible Provides stability and holds reproducibly if set up with kinematic contacts Bruker offers quick release dovetail slides with vacuum fixtures for easy on and off handling

19 Sample Considerations for Accurate Metrology Where Should I Measure? Wide range of crystal structure apparent across PV cell 2/28/

20 Data Analysis - Filtering is a critical component of accurate, reproducible results 2D Stylus filtering according to ISO 4287/4288 standards Filtering separates different portions of data of interest depending on specific criteria Make sure you report data that you care about! 2/28/

21 Robust Gaussian Filtering Better Separates Form from Finish Leads to More Reproducible Metrology 2 1 um Unfiltered Data Non Robust Robust mm Robust Gaussian Filter Created to Filter Form from Waviness and Roughness Without Surface Distortions 2/28/

22 3D filtering analogous critical component of accurate metrology Filter Unfiltered data 3D Areal filtering works in analogous way according to ISO standards Waviness + form Again, filtering is key to reporting data of interest! Roughness 2/28/

23 3D areal parameters Accurate results with specialized computations 3D extension of R parameters from 2D stylus metrology (Sa, Sq, Sz) Skew, kurtosis, bearing area, peak density, slopes are computed Sds: Summit density Ssc: Mean summit curvature 2/28/

24 ISO standard computations enable excellent 2D to 3D correlation as well 2/28/

25 Agreement between optical and stylus results is excellent Method Nominal Optical Stylus Ra 100 nm 105 nm 108 nm Stylus profiler; Dektak Optical profiler; Contour GT <2um size tip Single 55 um profile 2/28/ X 0.8 NA objective, XLI Single 55 um profile Know your Standard! This is a sinusoidal standard but deviates greatly from an ideal sine wave 25

26 Which is Accurate? Comparing Results Between Systems Creates Challenges New System X measures a part 10nm differently than our old system. How do we offset System X I measured some parts across the two systems and the correlation is terrible! How was each system calibrated? How do results vary within and across systems of each type? Can the two systems detect the same features? Are you examining the same areas on each system? Do the analysis algorithms on the two systems match? Is there sufficient range in the values for correlation to be meaningful? Ra nm Veeco WLI Vs Contact Stylus - PEEK y = x R 2 = Ra nm Optical Value (nm) y = x R² = AFM value (nm) Optical Value (nm) y = x R² = AFM value (nm) 2/28/

27 Standard Error is Used to Evaluate Agreement Between Two Systems Good for parts with small range in values compared to the average Assumes measurement of the same features Two methods are considered agreeable to twice the calculated standard error Avoids having to know the true sample standard deviation required by the correlation coefficient R σ T Correlation coefficient = ( )( ) σ + σ σ + σ sys1 σ T 2 T sys2 Is the true variation in the sample set Standard error: standard deviation of the difference 2 SE 2 sys1 σ = σ + σ 2 sys2 T 27

28 Summary Accurate surface metrology depends on many factors Verify performance on known samples if possible Proper fixturing and filtering are significant contributors to obtaining accurate surface metrology Ensure data reported is the data representative of need for test Bruker offers instrumentation which provides fast, accurate 2D stylus and 3D optical metrology for virtually all applications needs Partner with your metrology provider to ensure proper results! 2/28/

29 QUESTIONS? Contact info: Copyright Bruker Corporation. All rights reserved.

Application Note #503 Comparing 3D Optical Microscopy Techniques for Metrology Applications

Application Note #503 Comparing 3D Optical Microscopy Techniques for Metrology Applications Screw thread image generated by WLI Steep PSS angles WLI color imaging Application Note #503 Comparing 3D Optical Microscopy Techniques for Metrology Applications 3D optical microscopy is a mainstay metrology

More information

Calibration of AFM with virtual standards; robust, versatile and accurate. Richard Koops VSL Dutch Metrology Institute Delft

Calibration of AFM with virtual standards; robust, versatile and accurate. Richard Koops VSL Dutch Metrology Institute Delft Calibration of AFM with virtual standards; robust, versatile and accurate Richard Koops VSL Dutch Metrology Institute Delft 19-11-2015 VSL Dutch Metrology Institute VSL is the national metrology institute

More information

Plastic Film Texture Measurement With 3D Profilometry

Plastic Film Texture Measurement With 3D Profilometry Plastic Film Texture Measurement With 3D Profilometry Prepared by Jorge Ramirez 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials.

More information

Length, Finland, MIKES (VTT Technical Research Centre of Finland Ltd, Centre for Metrology / Mittatekniikan keskus)

Length, Finland, MIKES (VTT Technical Research Centre of Finland Ltd, Centre for Metrology / Mittatekniikan keskus) absolute mise en pratigue: mise en pratigue: absolute absolute Level or Range 633 633 nm 0.04 fm 2 95% No 1 474 474 THz 24 khz 2 95% No 1 532 532 nm 0.08 fm 2 95% No 50 563 563 THz 0.08 MHz 2 95% No 51

More information

Optical Methods of Surface Measurement

Optical Methods of Surface Measurement Optical Methods of Surface Measurement Ted Vorburger, Guest Researcher National Institute of Standards and Technology (NIST) Measurement Science and Standards in Forensic Firearms Analysis 2012 NIST, Gaithersburg,

More information

Sensor/Raft Metrology Status

Sensor/Raft Metrology Status Sensor/Raft Metrology Status Peter Z. Takacs Instrumentation Division Brookhaven National Laboratory 17 Oct 2005 1 Sensor/Raft metrology - current status Laboratory space preparation near completion. Optical

More information

Atomic Force Microscope

Atomic Force Microscope Atomic Force Microscope (Veeco Nanoman) User Manual Basic Operation 4 th Edition Aug 2012 NR System Startup If the system is currently ON To start the NanoScope software, double-click the NanoScope startup

More information

Active Vibration Isolation of an Unbalanced Machine Spindle

Active Vibration Isolation of an Unbalanced Machine Spindle UCRL-CONF-206108 Active Vibration Isolation of an Unbalanced Machine Spindle D. J. Hopkins, P. Geraghty August 18, 2004 American Society of Precision Engineering Annual Conference Orlando, FL, United States

More information

Bruker Stylus and 3D Microscope Systems Solutions for Semiconductor Applications

Bruker Stylus and 3D Microscope Systems Solutions for Semiconductor Applications Bruker Stylus and 3D Microscope Systems Solutions for Semiconductor Applications Outline Brief Intro - Bruker, BNS, SOM General Overview Bruker Dektak Stylus Products Semi Applications Bruker Contour Products

More information

Fast Z-stacking 3D Microscopy Extended Depth of Field Autofocus Z Depth Measurement 3D Surface Analysis

Fast Z-stacking 3D Microscopy Extended Depth of Field Autofocus Z Depth Measurement 3D Surface Analysis Cam CANIMPEX CPX-SOLUTIONS 3D Digital Microscope Camera FAST PRECISE AFFORDABLE 3D CAMERA FOR MICROSCOPY Fast Z-stacking 3D Microscopy Extended Depth of Field Autofocus Z Depth Measurement 3D Surface Analysis

More information

LARGE MEASUREMENT RANGE MECHANICAL COMPARATOR FOR CALIBRATION OF LONG GAUGE BLOCKS

LARGE MEASUREMENT RANGE MECHANICAL COMPARATOR FOR CALIBRATION OF LONG GAUGE BLOCKS XVII IMEKO World Congress Metrology in the 3rd Millennium June 22 27, 2003, Dubrovnik, Croatia LARGE MEASUREMENT RANGE MECHANICAL COMPARATOR FOR CALIBRATION OF LONG GAUGE BLOCKS Tanfer Yandayan TUBITAK-Ulusal

More information

3D TOPOGRAPHY & IMAGE OVERLAY OF PRINTED CIRCUIT BOARD ASSEMBLY

3D TOPOGRAPHY & IMAGE OVERLAY OF PRINTED CIRCUIT BOARD ASSEMBLY 3D TOPOGRAPHY & IMAGE OVERLAY OF PRINTED CIRCUIT BOARD ASSEMBLY Prepared by Duanjie Li, PhD & Andrea Novitsky 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard

More information

MANUAL TR-110 TR-110

MANUAL TR-110 TR-110 MANUAL TR-110 TR-110 CONTENTS 1. GENERAL INTRODUCTION 2 2. WORK PRINCIPLE 3 3. STANDARD DELIVERY 4 4. NAME OF EACH PART 5 5. OPERATION 6 5.1 Preparation before operation 6 5.2 Switch on, Switch off and

More information

Evaluating Surface Roughness of Si Following Selected Lapping and Polishing Processes

Evaluating Surface Roughness of Si Following Selected Lapping and Polishing Processes Applications Laboratory Report 86 Evaluating Surface Roughness of Si Following Selected Processes Purpose polishing of samples is a common application and required for a variety of manufacturing and research

More information

Perthometer. Surface Texture Parameters New Standards DIN EN ISO / ASME

Perthometer. Surface Texture Parameters New Standards DIN EN ISO / ASME Perthometer. Surface Texture Parameters New Standards DIN EN ISO / ASME MAHR GMBH, Germany - EDITION 09/01/99 Contents Real surface... Geometrical Product Specification Definition Profile filter P t Profile

More information

WOOD WEAR TESTING USING TRIBOMETER

WOOD WEAR TESTING USING TRIBOMETER WOOD WEAR TESTING USING TRIBOMETER Prepared by Duanjie Li, PhD 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2015 NANOVEA INTRO

More information

Optical Standards. John Nichol BSc MSc

Optical Standards. John Nichol BSc MSc Optical Standards John Nichol BSc MSc The following notes are presented to explain: Spherical Aberration The Airy Disk Peak to Valley, RMS and Strehl Ratio Standards of Optics produced by Nichol Optical

More information

Application Report: Running µshape TM on a VF-20 Interferometer

Application Report: Running µshape TM on a VF-20 Interferometer : Running µshape TM on a VF-20 Interferometer General This report describes how a fiber interferometer from Arden Photonics Ltd was used together with the µshape TM Generic software package. The VF-20

More information

ENGINEERING METROLOGY

ENGINEERING METROLOGY ENGINEERING METROLOGY ACADEMIC YEAR 92-93, SEMESTER ONE COORDINATE MEASURING MACHINES OPTICAL MEASUREMENT SYSTEMS; DEPARTMENT OF MECHANICAL ENGINEERING ISFAHAN UNIVERSITY OF TECHNOLOGY Coordinate Measuring

More information

Optical 3D-measurement and its use in minting. Alicona - Mr. Dipl.-Ing. Christian JANKO Münze Österreich - Mr. Ing. Alfred GNADENBERGER 29.1.

Optical 3D-measurement and its use in minting. Alicona - Mr. Dipl.-Ing. Christian JANKO Münze Österreich - Mr. Ing. Alfred GNADENBERGER 29.1. Optical 3D-measurement and its use in minting Alicona - Mr. Dipl.-Ing. Christian JANKO Münze Österreich - Mr. Ing. Alfred GNADENBERGER 29.1.2015 Index: 1. Introduction to Alicona 2. 3D measurement: principle

More information

MEASUREMENT OF END FACE GEOMETRY ON FIBER OPTIC TERMINI...2

MEASUREMENT OF END FACE GEOMETRY ON FIBER OPTIC TERMINI...2 MEASUREMENT OF END FACE GEOMETRY ON FIBER OPTIC TERMINI...2 IMPORTANCE OF END FACE GEOMETRY...2 FIBER OPTIC CONNECTOR END FACE GEOMETRY MEASUREMENT TECHNIQUES...2 INTERFEROMETRIC MICROSCOPE TYPES...3 MEASUREMENT

More information

PRODUCT BROCHURE 7.10.7 SF. Shop-Floor Coordinate Measuring Machine

PRODUCT BROCHURE 7.10.7 SF. Shop-Floor Coordinate Measuring Machine PRODUCT BROCHURE 7.10.7 SF Shop-Floor Coordinate Measuring Machine HEXAGON METROLOGY 7.10.7 SF Quality Insight at the Point of Production The Hexagon Metrology 7.10.7 SF is like a machine tool for quality

More information

Lapping and Polishing Basics

Lapping and Polishing Basics Lapping and Polishing Basics Applications Laboratory Report 54 Lapping and Polishing 1.0: Introduction Lapping and polishing is a process by which material is precisely removed from a workpiece (or specimen)

More information

Measuring large areas by white light interferometry at the nanopositioning and nanomeasuring machine (NPMM)

Measuring large areas by white light interferometry at the nanopositioning and nanomeasuring machine (NPMM) Image Processing, Image Analysis and Computer Vision Measuring large areas by white light interferometry at the nanopositioning and nanomeasuring machine (NPMM) Authors: Daniel Kapusi 1 Torsten Machleidt

More information

Atomic Force Microscope and Magnetic Force Microscope Background Information

Atomic Force Microscope and Magnetic Force Microscope Background Information Atomic Force Microscope and Magnetic Force Microscope Background Information Lego Building Instructions There are several places to find the building instructions for building the Lego models of atomic

More information

Making Better Medical Devices with Multisensor Metrology

Making Better Medical Devices with Multisensor Metrology Making Better Medical Devices with Multisensor Metrology by Nate J. Rose, Chief Applications Engineer, Optical Gaging Products (OGP) Multisensor metrology is becoming a preferred quality control technology

More information

Module 13 : Measurements on Fiber Optic Systems

Module 13 : Measurements on Fiber Optic Systems Module 13 : Measurements on Fiber Optic Systems Lecture : Measurements on Fiber Optic Systems Objectives In this lecture you will learn the following Measurements on Fiber Optic Systems Attenuation (Loss)

More information

digital quality control fail pass at your fingertips Smart Metrology Solutions.

digital quality control fail pass at your fingertips Smart Metrology Solutions. digital quality control fail pass µphase smartgage The clever innovation in digital metrology by FISBA, which lets you smarten up your quality management and economize your production processes, yet spares

More information

TABLET ROUGHNESS INSPECTION WITH 3D PROFILOMETRY

TABLET ROUGHNESS INSPECTION WITH 3D PROFILOMETRY TABLET ROUGHNESS INSPECTION WITH 3D PROFILOMETRY Prepared by Benjamin Mell 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2011

More information

Optical Metrology. Third Edition. Kjell J. Gasvik Spectra Vision AS, Trondheim, Norway JOHN WILEY & SONS, LTD

Optical Metrology. Third Edition. Kjell J. Gasvik Spectra Vision AS, Trondheim, Norway JOHN WILEY & SONS, LTD 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. Optical Metrology Third Edition Kjell J. Gasvik Spectra Vision AS,

More information

Metrology of silicon photovoltaic cells using coherence correlation interferometry

Metrology of silicon photovoltaic cells using coherence correlation interferometry Loughborough University Institutional Repository Metrology of silicon photovoltaic cells using coherence correlation interferometry This item was submitted to Loughborough University's Institutional Repository

More information

Automotive Applications of 3D Laser Scanning Introduction

Automotive Applications of 3D Laser Scanning Introduction Automotive Applications of 3D Laser Scanning Kyle Johnston, Ph.D., Metron Systems, Inc. 34935 SE Douglas Street, Suite 110, Snoqualmie, WA 98065 425-396-5577, www.metronsys.com 2002 Metron Systems, Inc

More information

OMCL Network of the Council of Europe QUALITY MANAGEMENT DOCUMENT

OMCL Network of the Council of Europe QUALITY MANAGEMENT DOCUMENT OMCL Network of the Council of Europe QUALITY MANAGEMENT DOCUMENT PA/PH/OMCL (12) 77 7R QUALIFICATION OF EQUIPMENT ANNEX 8: QUALIFICATION OF BALANCES Full document title and reference Document type Qualification

More information

DETECTION OF SUBSURFACE DAMAGE IN OPTICAL TRANSPARENT MATERIALSS USING SHORT COHERENCE TOMOGRAPHY. Rainer Boerret, Dominik Wiedemann, Andreas Kelm

DETECTION OF SUBSURFACE DAMAGE IN OPTICAL TRANSPARENT MATERIALSS USING SHORT COHERENCE TOMOGRAPHY. Rainer Boerret, Dominik Wiedemann, Andreas Kelm URN (Paper): urn:nbn:de:gbv:ilm1-2014iwk-199:0 58 th ILMENAU SCIENTIFIC COLLOQUIUM Technische Universität Ilmenau, 08 12 September 2014 URN: urn:nbn:de:gbv:ilm1-2014iwk:3 DETECTION OF SUBSURFACE DAMAGE

More information

We bring quality to light. MAS 40 Mini-Array Spectrometer. light measurement

We bring quality to light. MAS 40 Mini-Array Spectrometer. light measurement MAS 40 Mini-Array Spectrometer light measurement Features at a glance Cost-effective and robust CCD spectrometer technology Standard USB interface Compatible with all Instrument Systems measuring adapters

More information

Introduction to Fourier Transform Infrared Spectrometry

Introduction to Fourier Transform Infrared Spectrometry Introduction to Fourier Transform Infrared Spectrometry What is FT-IR? I N T R O D U C T I O N FT-IR stands for Fourier Transform InfraRed, the preferred method of infrared spectroscopy. In infrared spectroscopy,

More information

SIGNAL GENERATORS and OSCILLOSCOPE CALIBRATION

SIGNAL GENERATORS and OSCILLOSCOPE CALIBRATION 1 SIGNAL GENERATORS and OSCILLOSCOPE CALIBRATION By Lannes S. Purnell FLUKE CORPORATION 2 This paper shows how standard signal generators can be used as leveled sine wave sources for calibrating oscilloscopes.

More information

Scanners and How to Use Them

Scanners and How to Use Them Written by Jonathan Sachs Copyright 1996-1999 Digital Light & Color Introduction A scanner is a device that converts images to a digital file you can use with your computer. There are many different types

More information

User checks and maintenance of laboratory balances

User checks and maintenance of laboratory balances Technical Note 13 March 2014 Issued: July 1995 Amended and reissued: July 2005, July 2007, October 2007, May 2010, March 2013, March 2014 User checks and maintenance of laboratory balances Copyright National

More information

REPORT DOCUMENTATION PAGE

REPORT DOCUMENTATION PAGE REPORT DOCUMENTATION PAGE Form Approved OMB No. 0704-0188 Public reporting burden for this collection of information is estimated to average 1 hour per response, including the time for reviewing instructions,

More information

VALLIAMMAI ENGINEERING COLLEGE SRM NAGAR KATTANKULATHUR DEPARTMENT OF MECHANICAL ENGINEERING ME2304 ENGINEERING METROLOGY AND MEASUREMENT

VALLIAMMAI ENGINEERING COLLEGE SRM NAGAR KATTANKULATHUR DEPARTMENT OF MECHANICAL ENGINEERING ME2304 ENGINEERING METROLOGY AND MEASUREMENT VALLIAMMAI ENGINEERING COLLEGE SRM NAGAR KATTANKULATHUR DEPARTMENT OF MECHANICAL ENGINEERING ME2304 ENGINEERING METROLOGY AND MEASUREMENT QUESTION BANK UNIT I CONCEPT OF MEASUREMENT 1. What are the uses

More information

Reflectance Measurements of Materials Used in the Solar Industry. Selecting the Appropriate Accessories for UV/Vis/NIR Measurements.

Reflectance Measurements of Materials Used in the Solar Industry. Selecting the Appropriate Accessories for UV/Vis/NIR Measurements. T e c h n i c a l N o t e Reflectance Measurements of Materials Used in the Solar Industry UV/Vis/NIR Author: Dr. Jeffrey L. Taylor PerkinElmer, Inc. 710 Bridgeport Avenue Shelton, CT 06484 USA Selecting

More information

Pipeline External Corrosion Analysis Using a 3D Laser Scanner

Pipeline External Corrosion Analysis Using a 3D Laser Scanner Pipeline Technology Conference 2013 Pipeline External Corrosion Analysis Using a 3D Laser Scanner Pierre-Hugues ALLARD, Charles MONY Creaform, www.creaform3d.com 5825 rue St-Georges, Lévis (QC), Canada,

More information

Accuracy of SpotOptics wavefront sensors. June 2010 Version 4.0

Accuracy of SpotOptics wavefront sensors. June 2010 Version 4.0 Accuracy of SpotOptics wavefront sensors June Version 4.0 1 1 Basic concepts: accuracy, precision and repeatability Repeatability is not the same as accuracy You can have high repeatability but low accuracy

More information

A NATIONAL MEASUREMENT GOOD PRACTICE GUIDE. No.108. Guide for the Measurement of Smooth Surface Topography using Coherence Scanning Interferometry

A NATIONAL MEASUREMENT GOOD PRACTICE GUIDE. No.108. Guide for the Measurement of Smooth Surface Topography using Coherence Scanning Interferometry A NATIONAL MEASUREMENT GOOD PRACTICE GUIDE No.108 Guide for the Measurement of Smooth Surface Topography using Coherence Scanning Interferometry Measurement Good Practice Guide No. 108 Guide to the Measurement

More information

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014 Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014 Introduction Following our previous lab exercises, you now have the skills and understanding to control

More information

5-Axis Test-Piece Influence of Machining Position

5-Axis Test-Piece Influence of Machining Position 5-Axis Test-Piece Influence of Machining Position Michael Gebhardt, Wolfgang Knapp, Konrad Wegener Institute of Machine Tools and Manufacturing (IWF), Swiss Federal Institute of Technology (ETH), Zurich,

More information

Agilent 8510-13 Measuring Noninsertable Devices

Agilent 8510-13 Measuring Noninsertable Devices Agilent 8510-13 Measuring Noninsertable Devices Product Note A new technique for measuring components using the 8510C Network Analyzer Introduction The majority of devices used in real-world microwave

More information

CNC-STEP. "LaserProbe4500" 3D laser scanning system Instruction manual

CNC-STEP. LaserProbe4500 3D laser scanning system Instruction manual LaserProbe4500 CNC-STEP "LaserProbe4500" 3D laser scanning system Instruction manual 2 Hylewicz CNC-Technik Siemensstrasse 13-15 D-47608 Geldern Fon.: +49 (0) 2831 133236 E-Mail: info@cnc-step.com Website:

More information

Measuring Miniature Lens Radius of Curvature and Refractive Index with White Light Optical Profiler

Measuring Miniature Lens Radius of Curvature and Refractive Index with White Light Optical Profiler Measuring Miniature Lens Radius of Curvature and Refractive Index with White Light Optical Profiler Introduction For miniature lenses with size of few millimeters or sub-millimeter, it is difficult to

More information

EXPERIMENT NUMBER 5 BASIC OSCILLOSCOPE OPERATIONS

EXPERIMENT NUMBER 5 BASIC OSCILLOSCOPE OPERATIONS 1 EXPERIMENT NUMBER 5 BASIC OSCILLOSCOPE OPERATIONS The oscilloscope is the most versatile and most important tool in this lab and is probably the best tool an electrical engineer uses. This outline guides

More information

Injection moulding and modelling on a micro scale

Injection moulding and modelling on a micro scale Injection moulding and modelling on a micro scale Technology Update Injection moulding and welding of plastics 11 November 2014 Research Projects (National / European) Micro/Nano/Multimaterial Manufacturing

More information

Introduction to Accuracy and Repeatability in Linear Motion Systems

Introduction to Accuracy and Repeatability in Linear Motion Systems Introduction to accuracy and repeatability in linear motion systems By Gary Rosengren, Director of Engineering Tolomatic, Inc. About the Author Gary Rosengren is Director of Engineering at Tolomatic and

More information

Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff

Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff December 2012 Specifying Advanced Plasma Deposited Hard Coated Optical Bandpass and Dichroic Filters. Introduction

More information

National Performance Evaluation Facility for LADARs

National Performance Evaluation Facility for LADARs National Performance Evaluation Facility for LADARs Kamel S. Saidi (presenter) Geraldine S. Cheok William C. Stone The National Institute of Standards and Technology Construction Metrology and Automation

More information

Surface Profilometry as a tool to Measure Thin Film Stress, A Practical Approach. Gianni Franceschinis, RIT MicroE Graduate Student

Surface Profilometry as a tool to Measure Thin Film Stress, A Practical Approach. Gianni Franceschinis, RIT MicroE Graduate Student 1 Surface Profilometry as a tool to Measure Thin Film Stress, A Practical Approach. Gianni Franceschinis, RIT MicroE Graduate Student Abstract-- As the film decreases in thickness the requirements of more

More information

Fundamentals of modern UV-visible spectroscopy. Presentation Materials

Fundamentals of modern UV-visible spectroscopy. Presentation Materials Fundamentals of modern UV-visible spectroscopy Presentation Materials The Electromagnetic Spectrum E = hν ν = c / λ 1 Electronic Transitions in Formaldehyde 2 Electronic Transitions and Spectra of Atoms

More information

Types of 3D Scanners and 3D Scanning Technologies.

Types of 3D Scanners and 3D Scanning Technologies. Types of 3D Scanners and 3D Scanning Technologies. There are many types of 3D scanners and 3D scanning technologies. Some are ideal for short range scanning while others are better suited for mid or long

More information

Synthetic Sensing: Proximity / Distance Sensors

Synthetic Sensing: Proximity / Distance Sensors Synthetic Sensing: Proximity / Distance Sensors MediaRobotics Lab, February 2010 Proximity detection is dependent on the object of interest. One size does not fit all For non-contact distance measurement,

More information

Sensori ottici e laser nelle applicazioni industriali

Sensori ottici e laser nelle applicazioni industriali Sensori ottici e laser nelle applicazioni industriali Guido GIULIANI Pavia giuliani@julight.it 1 Outline Optical sensors in industry: why? Types of optical sensors optical barriers distance measurement

More information

Non-Contact Vibration Measurement of Micro-Structures

Non-Contact Vibration Measurement of Micro-Structures Non-Contact Vibration Measurement of Micro-Structures Using Laser Doppler Vibrometry (LDV) and Planar Motion Analysis (PMA) to examine and assess the vibration characteristics of micro- and macro-structures.

More information

Robot Perception Continued

Robot Perception Continued Robot Perception Continued 1 Visual Perception Visual Odometry Reconstruction Recognition CS 685 11 Range Sensing strategies Active range sensors Ultrasound Laser range sensor Slides adopted from Siegwart

More information

FREE FALL. Introduction. Reference Young and Freedman, University Physics, 12 th Edition: Chapter 2, section 2.5

FREE FALL. Introduction. Reference Young and Freedman, University Physics, 12 th Edition: Chapter 2, section 2.5 Physics 161 FREE FALL Introduction This experiment is designed to study the motion of an object that is accelerated by the force of gravity. It also serves as an introduction to the data analysis capabilities

More information

Laserlyte-Flex Alignment System

Laserlyte-Flex Alignment System Laserlyte-Flex Alignment System LaserLyte-Flex The LaserLyte-Flex Alignment System is a unique, interchangeable, low cost plug and play laser system. Designed specifically for aligning and positioning

More information

PUMPED Nd:YAG LASER. Last Revision: August 21, 2007

PUMPED Nd:YAG LASER. Last Revision: August 21, 2007 PUMPED Nd:YAG LASER Last Revision: August 21, 2007 QUESTION TO BE INVESTIGATED: How can an efficient atomic transition laser be constructed and characterized? INTRODUCTION: This lab exercise will allow

More information

NP-AFM. Samples as large as 200 x 200 x 20 mm are profiled by the NP-AFM system, and several stage options are available for many types of samples.

NP-AFM. Samples as large as 200 x 200 x 20 mm are profiled by the NP-AFM system, and several stage options are available for many types of samples. NP-AFM The NP-AFM is a complete nanoprofiler tool including everything required for scanning samples: microscope stage, electronic box, control computer, probes, manuals, and a video microscope. Samples

More information

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society MACHINE VISION FOR SMARTPHONES Essential machine vision camera requirements to fulfill the needs of our society INTRODUCTION With changes in our society, there is an increased demand in stateof-the art

More information

Characterization of surfaces by AFM topographical, mechanical and chemical properties

Characterization of surfaces by AFM topographical, mechanical and chemical properties Characterization of surfaces by AFM topographical, mechanical and chemical properties Jouko Peltonen Department of physical chemistry Åbo Akademi University Atomic Force Microscopy (AFM) Contact mode AFM

More information

1 Introduction. 1.1 Historical Perspective

1 Introduction. 1.1 Historical Perspective j1 1 Introduction 1.1 Historical Perspective The invention of scanning probe microscopy is considered one of the major advances in materials science since 1950 [1, 2]. Scanning probe microscopy includes

More information

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing Authors: Tom Dunn, Chris Lee, Mark Tronolone, Aric Shorey Corning Incorporated Corning, New York 14831 ShoreyAB@corning.com

More information

Fibre Bragg Grating Sensors An Introduction to Bragg gratings and interrogation techniques

Fibre Bragg Grating Sensors An Introduction to Bragg gratings and interrogation techniques Fibre Bragg Grating Sensors An ntroduction to Bragg gratings and interrogation techniques Dr Crispin Doyle Senior Applications Engineer, Smart Fibres Ltd. 2003 1) The Fibre Bragg Grating (FBG) There are

More information

Atomic Force Microscopy Observation and Characterization of a CD Stamper, Lycopodium Spores, and Step-Height Standard Diffraction Grating

Atomic Force Microscopy Observation and Characterization of a CD Stamper, Lycopodium Spores, and Step-Height Standard Diffraction Grating Atomic Force Microscopy Observation and Characterization of a CD Stamper, Lycopodium Spores, and Step-Height Standard Diffraction Grating Michael McMearty and Frit Miot Special Thanks to Brendan Cross

More information

Development of certified reference material of thin film for thermal diffusivity

Development of certified reference material of thin film for thermal diffusivity Development of certified reference material of thin film for thermal diffusivity Takashi Yagi, Thermophysical properties section, NMIJ/AIST Joshua Martin MML, National Institute of Standards and Technology

More information

Encoders for Linear Motors in the Electronics Industry

Encoders for Linear Motors in the Electronics Industry Technical Information Encoders for Linear Motors in the Electronics Industry The semiconductor industry and automation technology increasingly require more precise and faster machines in order to satisfy

More information

Prototyping to Production

Prototyping to Production White Paper Prototyping to Production Konrad Goffin David Montgomery Cicely Rathmell INTRODUCTION CVI Laser Optics quick turnaround prototype services smooth the transition from prototype to production.

More information

Measuring Line Edge Roughness: Fluctuations in Uncertainty

Measuring Line Edge Roughness: Fluctuations in Uncertainty Tutor6.doc: Version 5/6/08 T h e L i t h o g r a p h y E x p e r t (August 008) Measuring Line Edge Roughness: Fluctuations in Uncertainty Line edge roughness () is the deviation of a feature edge (as

More information

A More Efficient Way to De-shelve 137 Ba +

A More Efficient Way to De-shelve 137 Ba + A More Efficient Way to De-shelve 137 Ba + Abstract: Andrea Katz Trinity University UW REU 2010 In order to increase the efficiency and reliability of de-shelving barium ions, an infrared laser beam was

More information

Lecture 20: Scanning Confocal Microscopy (SCM) Rationale for SCM. Principles and major components of SCM. Advantages and major applications of SCM.

Lecture 20: Scanning Confocal Microscopy (SCM) Rationale for SCM. Principles and major components of SCM. Advantages and major applications of SCM. Lecture 20: Scanning Confocal Microscopy (SCM) Rationale for SCM. Principles and major components of SCM. Advantages and major applications of SCM. Some limitations (disadvantages) of NSOM A trade-off

More information

Encoded Phased Array Bridge Pin Inspection

Encoded Phased Array Bridge Pin Inspection Encoded Phased Array Bridge Pin Inspection James S. Doyle Baker Testing Services, Inc. 22 Reservoir Park Dr. Rockland, MA 02370 (781) 871-4458; fax (781) 871-0123; e-mail jdoyle@bakertesting.com Product

More information

AC Measurements Using the Oscilloscope and Multimeter by Mr. David Fritz

AC Measurements Using the Oscilloscope and Multimeter by Mr. David Fritz AC Measurements Using the Oscilloscope and Multimeter by Mr. David Fritz 1 Sine wave with a DC offset f = frequency in Hz A = DC offset voltage (average voltage) B = Sine amplitude Vpp = 2B Vmax = A +

More information

Wir schaffen Wissen heute für morgen

Wir schaffen Wissen heute für morgen Diffractive optics for photon beam diagnostics at hard XFELs Wir schaffen Wissen heute für morgen PSI: SLAC: ESRF: SOLEIL: APS: SACLA: EuroXFEL C. David, S. Rutishauser, P. Karvinen, Y. Kayser, U. Flechsig,

More information

FTIR Instrumentation

FTIR Instrumentation FTIR Instrumentation Adopted from the FTIR lab instruction by H.-N. Hsieh, New Jersey Institute of Technology: http://www-ec.njit.edu/~hsieh/ene669/ftir.html 1. IR Instrumentation Two types of instrumentation

More information

DEFINING AND MEASURING PHYSICAL PARAMETERS OF PC POLISHED FIBER OPTIC CONNECTORS

DEFINING AND MEASURING PHYSICAL PARAMETERS OF PC POLISHED FIBER OPTIC CONNECTORS DEFINING AND MEASURING PHYSICAL PARAMETERS OF PC POLISHED FIBER OPTIC CONNECTORS Eric A. Norland Norland Products, Inc. PO Box 637, Building100 2540 Route 130 Cranbury, NJ 08512 www.norlandprod.com ABSTRACT

More information

Sound Power Measurement

Sound Power Measurement Sound Power Measurement A sound source will radiate different sound powers in different environments, especially at low frequencies when the wavelength is comparable to the size of the room 1. Fortunately

More information

Metrol. Meas. Syst., Vol. XVII (2010), No. 1, pp. 119-126 METROLOGY AND MEASUREMENT SYSTEMS. Index 330930, ISSN 0860-8229 www.metrology.pg.gda.

Metrol. Meas. Syst., Vol. XVII (2010), No. 1, pp. 119-126 METROLOGY AND MEASUREMENT SYSTEMS. Index 330930, ISSN 0860-8229 www.metrology.pg.gda. Metrol. Meas. Syst., Vol. XVII (21), No. 1, pp. 119-126 METROLOGY AND MEASUREMENT SYSTEMS Index 3393, ISSN 86-8229 www.metrology.pg.gda.pl MODELING PROFILES AFTER VAPOUR BLASTING Paweł Pawlus 1), Rafał

More information

Outer Diameter 23 φ mm Face side Dimension 20.1 φ mm. Baffle Opening. Normal 0.5 Watts Maximum 1.0 Watts Sine Wave.

Outer Diameter 23 φ mm Face side Dimension 20.1 φ mm. Baffle Opening. Normal 0.5 Watts Maximum 1.0 Watts Sine Wave. 1. MODEL: 23CR08FH-50ND 2 Dimension & Weight Outer Diameter 23 φ mm Face side Dimension 20.1 φ mm Baffle Opening 20.1 φ mm Height Refer to drawing Weight 4.0Grams 3 Magnet Materials Rare Earth Size φ 9.5

More information

Basic principles and mechanisms of NSOM; Different scanning modes and systems of NSOM; General applications and advantages of NSOM.

Basic principles and mechanisms of NSOM; Different scanning modes and systems of NSOM; General applications and advantages of NSOM. Lecture 16: Near-field Scanning Optical Microscopy (NSOM) Background of NSOM; Basic principles and mechanisms of NSOM; Basic components of a NSOM; Different scanning modes and systems of NSOM; General

More information

Basics of Digital Recording

Basics of Digital Recording Basics of Digital Recording CONVERTING SOUND INTO NUMBERS In a digital recording system, sound is stored and manipulated as a stream of discrete numbers, each number representing the air pressure at a

More information

Adding Heart to Your Technology

Adding Heart to Your Technology RMCM-01 Heart Rate Receiver Component Product code #: 39025074 KEY FEATURES High Filtering Unit Designed to work well on constant noise fields SMD component: To be installed as a standard component to

More information

Industrial Metrology from Carl Zeiss. Contour and Surface Measuring Machines

Industrial Metrology from Carl Zeiss. Contour and Surface Measuring Machines Industrial Metrology from Carl Zeiss Contour and Surface Measuring Machines Overview Inspection equipment from Carl Zeiss for the production floor. Carl Zeiss offers a complete product line for industrial

More information

PeakVue Analysis for Antifriction Bearing Fault Detection

PeakVue Analysis for Antifriction Bearing Fault Detection August 2011 PeakVue Analysis for Antifriction Bearing Fault Detection Peak values (PeakVue) are observed over sequential discrete time intervals, captured, and analyzed. The analyses are the (a) peak values

More information

The Volumetric Erosion of Electrical Contacts

The Volumetric Erosion of Electrical Contacts IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 23, NO. 2, JUNE 2000 211 The Volumetric Erosion of Electrical Contacts John W. McBride Abstract In this paper a method for measuring the

More information

Flexible Measurements of Shapes and Roughness of Aspheres and Optical Freeforms

Flexible Measurements of Shapes and Roughness of Aspheres and Optical Freeforms Session 2: Digital Imaging for Industry 4.0 and Robots Flexible Measurements of Shapes and Roughness of Aspheres and Optical Freeforms 26. März 2014 Mahr in Facts Business Fields: System metrology Hand-held

More information

High Resolution Spatial Electroluminescence Imaging of Photovoltaic Modules

High Resolution Spatial Electroluminescence Imaging of Photovoltaic Modules High Resolution Spatial Electroluminescence Imaging of Photovoltaic Modules Abstract J.L. Crozier, E.E. van Dyk, F.J. Vorster Nelson Mandela Metropolitan University Electroluminescence (EL) is a useful

More information

Signal to Noise Instrumental Excel Assignment

Signal to Noise Instrumental Excel Assignment Signal to Noise Instrumental Excel Assignment Instrumental methods, as all techniques involved in physical measurements, are limited by both the precision and accuracy. The precision and accuracy of a

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

Hitachi U-4100 UV-vis-NIR spectrophotometer (341-F)

Hitachi U-4100 UV-vis-NIR spectrophotometer (341-F) Hitachi U-4100 UV-vis-NIR spectrophotometer (341-F) Please contact Dr. Amanda Young for training requests and assistance: 979-862-6845, amandayoung@tamu.edu Hardware Our spectrophotometer is made up of

More information

(Uncertainty) 2. How uncertain is your uncertainty budget?

(Uncertainty) 2. How uncertain is your uncertainty budget? (Uncertainty) 2 How uncertain is your uncertainty budget? Paper Author and Presenter: Dr. Henrik S. Nielsen HN Metrology Consulting, Inc 10219 Coral Reef Way, Indianapolis, IN 46256 Phone: (317) 849 9577,

More information

ABB Robotics, June 2014. IRB 1200 Overview. ABB Group August 21, 2014 Slide 1

ABB Robotics, June 2014. IRB 1200 Overview. ABB Group August 21, 2014 Slide 1 ABB Robotics, June 2014 IRB 1200 Overview August 21, 2014 Slide 1 Overview Differentiated value proposition Have you ever wanted to make your machines 15% smaller and 10% faster? ABB s new IRB 1200 allows

More information

Lab 9: The Acousto-Optic Effect

Lab 9: The Acousto-Optic Effect Lab 9: The Acousto-Optic Effect Incoming Laser Beam Travelling Acoustic Wave (longitudinal wave) O A 1st order diffracted laser beam A 1 Introduction qb d O 2qb rarefractions compressions Refer to Appendix

More information