Application of SEERS to real time Plasma Monitoring in Production at different FABs

Size: px
Start display at page:

Download "Application of SEERS to real time Plasma Monitoring in Production at different FABs"

Transcription

1 AECAPC SYMPOSIUM 2001, BANFF Application of SEERS to real time Plasma Monitoring in Production at different FABs Volker Tegeder

2 Sensor Evaluation Calculation of expected Economical Benefit Automatic link to logistical Data Partnership Sensor Supplier, Tool Supplier, Manufacturer Analyze Data and react on conspicuous Data Merge Sensor Data to FDC System Requirements for successful integration of sensors into manufacturing Lessons Learned

3 European SEA IST-Project: APC300 PlasmaProcess Control Poly Oxide Metal HERCULES/APC (Plasma-Parameter) APC APC NET NET (Tool-Parameter) Dresden Dresden Data Data base base Test-Data Wafer-Yield Correlation between yield and plasma CoO, OEE Rousset Regensburg Start: February 1st, 1st, 2000 End: October 31st, 2001 Berlin Participants and Timeline

4 Calculation of expected Economical Benefit

5 Calculation of expected Economical Benefit Project Planning HW System Set Up Resources allocated to project => Calculation of Benefit first! Involvement Involvement of: of: Process Process Integration, Integration, Maintenance, Maintenance, IT, IT, Product Product Characterization, Characterization, Controlling, Controlling, Process ProcessDep. Impact of Benefit Estimation

6 Calculation of expected Economical Benefit Identify Improvement for Manufacturing List Preconditions and Requirements Correlate Improvement to to Economical Benefit Generate Benefit Equations for Verification Collect Data for Calculation (pre/post) System Set Up to to Receive the Benefit Calculation Steps

7 Automatic Link to logistical Data

8 Automatic Link to logistical Data Analysis correlate sensor data to to in in line data yield data tool data benefit calculation Logistical Data linked to Sensor Data Adjustment of of settings for sensor alarm (FDC)

9 Partnership: Sensor Supplier, Tool Supplier, Manufacturer

10 Partnership: Sensor Supplier, Tool Supplier and Manufacturer New Platen improves Signal to Noise Ratio Sensor Signal weak because of Liner Regensburg

11 Partnership: Sensor Supplier, Tool Supplier and Manufacturer Sensor Data available at the Tool Synchronized to Tool Data On-line at the Tool Easy to analyse Collision Rate TCPRFGen ForwardPower BiasRFGen ForwardPower LAM Plug and Play Interface Fab-Host LAM Domino Chamber User Internal Network FDC Hercules Universal Universal Plug Plug and and Play Play Interface Interface merging merging Tool Tool and and external external Sensor Sensor Data Data Independent, Independent, Ethernet Ethernet based based Network Network (10 (10 Mbit Mbit / / Mbit) Mbit) Automatic Automatic Sensor Sensor Recognition Recognition Providing Providing Sensor Sensor with with logistical logistical Data Data

12 Analyze & React

13 Analyze & React Electron density [10 6 x 1/cm 3 ] free master/slave Time [s] - Strong Fluctuations detected for new Tool - Root Cause: missing Phase Coupling Regensburg

14 Analyze & React Collision Rate CD Gate Etch DOE Results: Pressure, RF Top/Bottom and O2/Cl2 Variation Rousset

15 Analyze & React Collision Rate [10 8 s -1 ] optical Endpoint watt 300 watt TCPRFGenForwardPower_AI BiasRFGenForwardPower_AI Gas flow changes Gas flow changes sec Electron Density [10 10 s -1 ] Sensor and Tool Data at LAM Domino

16 Analyze & React 14 Hercules Endpoint (AMAT 368,5 nm) Collision Rate [10 6 x s -1 ] Intensity EP Time [s] 0 Oxide Process (one Recipe step), Overetch identified by collision rate. SEERS Fingerprint and optical EP

17 Analyze & React SiO2 etch recipe parameter change by 20 % Reference_1 +HBr2 -HBr2 +CL2 -CL2 +HBr1 -HBr1 +Pressure -Pressure +RFPower -RFPower +Mfield -Mfield +He-BSC -He-BSC +CF4 -CF4 +He-O2 -He-O2 +T_Wall -T_Wall +T_Cathode -T_Cathode +T_Wall -T_Wall -He-BSC -He-O2 Reference_2 Collision Rate [10 8 s -1 ] Etch Rate Change % Uniformity Change % Mean Coll. Rate Mean / depth std DEV / depth Etch Uniformity Change indicated by collision rate Similar Results for Si Etch at MxP but lower Sensitivity For Poly Etch at DPS not sensitive enough to detect Errors Dresden

18 Merge Sensor Data to FDC System

19 Merge Sensor Data to FDC System 5 4,5 Oxide Process Collision Rate [10 7 s -1 ] 4 3,5 3 2,5 2 1,5 1 Electrical Parameter ~ Yield 0, Time [s] Zero Yield Wafer detected

20 Merge Sensor Data to FDC System Strong Disturbances at one Poly Process Regensburg Process for new Product with bigger open Area was unstable Improvement of the Process by changing the Recipe (Pressure) since 7/19 verified by Collision Rate Stabilization of Process verified

21 Merge Sensor Data to FDC System Sensor data Change in Chamber Pressure impacts the Collision Rate Collision Rate [10 6 s -1 ] Process 1 Process Etch Time [s] 240 Throttle Valve Position Pressure 1 Pressure 2 Tool data Pressure [mtorr] Process Time [s] 0 Tool Error identified by Collision Rate

22 Merge Sensor Data to FDC System Slot 7 Slot Aver. Coll. Rate [10 6 s -1 ] Collision Rate of indicated wafers Slot 6 Slot Wafermap: Excursion Pattern Slot Run Root Cause: Mass Flow Controller Malfunction Test Pattern identified at Process Level

23 Co-Authors Daniel Zschäbitz Mark Laqua Enrico Höfer Klaus Reingruber Klaus Behrendt Sven Mueller Robert Ronchi Baudouin Lecohier Julien Pasquet University of Dresden University of Dresden University of Applied Sciences Dresden Regensburg Regensburg AMD Saxony ST Microelectronics ST Microelectronics ST Microelectronics Further Reading: Characterization and Control..., Volker Tegeder et. al. European AECAPC Workshop 2000 Supervision of Plasma Etch Processes..., Volker Tegeder et. al. AECAPC Symposium XII 2000 The tremendous impact of APC for plasma etch, Volker Tegeder, Robert Ronchi, Sven Mueller, Solid State Technology 2001 in press Acknowledgement: The presented work is funded by the European IST program on the SEA-Initiative

Peak Sensor Systems e-diagnostics Initiative

Peak Sensor Systems e-diagnostics Initiative Peak Sensor Systems e-diagnostics Initiative Adding e-diagnostic Capability to ProPak Technology Pam Ward / Peak Sensor Systems ppward@peaksensor.com, 505.342.1170, 106 18 March 2003 03/13/2003-1 ProPak

More information

Approaches for Implementation of Virtual Metrology and Predictive Maintenance into Existing Fab Systems

Approaches for Implementation of Virtual Metrology and Predictive Maintenance into Existing Fab Systems Workshop - Statistical methods applied in microelectronics 13. June 2011, Catholic University of Milan, Milan, Italy Approaches for Implementation of Virtual Metrology and Predictive Maintenance into Existing

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

This paper describes Digital Equipment Corporation Semiconductor Division s

This paper describes Digital Equipment Corporation Semiconductor Division s WHITEPAPER By Edd Hanson and Heather Benson-Woodward of Digital Semiconductor Michael Bonner of Advanced Energy Industries, Inc. This paper describes Digital Equipment Corporation Semiconductor Division

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

Electron Beam and Sputter Deposition Choosing Process Parameters

Electron Beam and Sputter Deposition Choosing Process Parameters Electron Beam and Sputter Deposition Choosing Process Parameters General Introduction The choice of process parameters for any process is determined not only by the physics and/or chemistry of the process,

More information

Coating Thickness and Composition Analysis by Micro-EDXRF

Coating Thickness and Composition Analysis by Micro-EDXRF Application Note: XRF Coating Thickness and Composition Analysis by Micro-EDXRF www.edax.com Coating Thickness and Composition Analysis by Micro-EDXRF Introduction: The use of coatings in the modern manufacturing

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

History and Future of Hitachi s Plasma Etching System

History and Future of Hitachi s Plasma Etching System History and Future of Hitachi s Plasma Etching System 198 History and Future of Hitachi s Plasma Etching System Hiromichi Enami Yoshifumi Ogawa Masaru Izawa Takaaki Saito OVERVIEW: Hitachi s etching equipment

More information

INTELLIGENT DEFECT ANALYSIS SOFTWARE

INTELLIGENT DEFECT ANALYSIS SOFTWARE INTELLIGENT DEFECT ANALYSIS SOFTWARE Website: http://www.siglaz.com Semiconductor fabs currently use defect count or defect density as a triggering mechanism for their Statistical Process Control. However,

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Control Charts and Data Integration

Control Charts and Data Integration Control Charts and Data Integration The acceptance chart and other control alternatives. Examples on SPC applications 1 Modified Charts If C pk >> 1 we set control limits so that the fraction non-conf.

More information

ACTIVATION ENERGY: AN ELEMENTARY STUDY IN THE MATRIX ISOTROPIC ETCHER.

ACTIVATION ENERGY: AN ELEMENTARY STUDY IN THE MATRIX ISOTROPIC ETCHER. SiO2 ACTIVATION ENERGY: AN ELEMENTARY STUDY IN THE MATRIX ISOTROPIC ETCHER. 1 A.J. BALLONI Fundação Centro Tecnológico para Informática Instituto de Microeletrônica Laboratório de Manufatura de Circuitos

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Best Practices in Microtechnology for PV production effectiveness

Best Practices in Microtechnology for PV production effectiveness Best Practices in Microtechnology for PV production effectiveness PV meets Microtechnology Chancen und Herausforderungen Erfurt, 29./30. Oktober 2008 Agenda 1 Motivation 2 History of Microtechnology 3

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Todd R. Nelson, Department of Statistics, Brigham Young University, Provo, UT

Todd R. Nelson, Department of Statistics, Brigham Young University, Provo, UT SAS Interface for Run-to-Run Batch Process Monitoring Using Real-Time Data Todd R Nelson, Department of Statistics, Brigham Young University, Provo, UT Scott D Grimshaw, Department of Statistics, Brigham

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Further Evaluation of Two Plasma Technologies for PFC Emissions Reduction

Further Evaluation of Two Plasma Technologies for PFC Emissions Reduction SSA 2000 Annual Conference, Arlington, Virginia Further Evaluation of Two Plasma Technologies for PFC Emissions Reduction Walter Worth Program Manager, ESH April 27, 2000 Background Perfluorocompounds

More information

How To Make A Plasma Control System

How To Make A Plasma Control System XXII. Erfahrungsaustausch Mühlleiten 2015 Plasmaanalyse und Prozessoptimierung mittels spektroskopischem Plasmamonitoring in industriellen Anwendungen Swen Marke,, Lichtenau Thomas Schütte, Plasus GmbH,

More information

BB-18 Black Body High Vacuum System Technical Description

BB-18 Black Body High Vacuum System Technical Description BB-18 Black Body High Vacuum System Technical Description The BB-18 Black Body is versatile and is programmed for use as a fixed cold target at 80 K or variable target, at 80 K- 350 K no extra cost. The

More information

Process Monitoring and Control of Semiconductor Production Tools Using JMP

Process Monitoring and Control of Semiconductor Production Tools Using JMP Process Monitoring and Control of Semiconductor Production Tools Using JMP P.S. Frankwicz, D. Scipione, S. Coleman and J. Devlin Operations Engineering, National Semiconductor Corporation, S. Portland,

More information

How To Run Statistical Tests in Excel

How To Run Statistical Tests in Excel How To Run Statistical Tests in Excel Microsoft Excel is your best tool for storing and manipulating data, calculating basic descriptive statistics such as means and standard deviations, and conducting

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information

Our Embedded Dream of the Invisible Future

Our Embedded Dream of the Invisible Future Our Embedded Dream of the Invisible Future Since the invention of semiconductor chips, the evolution of mankind s culture, society and lifestyle has accelerated at a pace never before experienced. Information

More information

Plasma Etching ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING. Plasma Etching. Dr. Lynn Fuller. http://people.rit.

Plasma Etching ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING. Plasma Etching. Dr. Lynn Fuller. http://people.rit. ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Plasma Etching Dr. Lynn Fuller http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Big Data Analytics and Decision Analysis for Manufacturing Intelligence to Empower Industry 3.5

Big Data Analytics and Decision Analysis for Manufacturing Intelligence to Empower Industry 3.5 ISMI2015, Oct. 16-18, 2015 KAIST, Daejeon, South Korea Big Data Analytics and Decision Analysis for Manufacturing Intelligence to Empower Industry 3.5 Tsinghua Chair Professor Chen-Fu Chien, Ph.D. Department

More information

Process Diagnostics of Industrial Plasma Systems

Process Diagnostics of Industrial Plasma Systems Process Diagnostics of Industrial Plasma Systems A thesis for the degree of PHILOSOPHIAE DOCTOR Presented to Dublin City University By Niall Mac Gearailt B.Eng. Faculty of Engineering and Computing Dublin

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Swissmetro travels at high speeds through a tunnel at low pressure. It will therefore undergo friction that can be due to:

Swissmetro travels at high speeds through a tunnel at low pressure. It will therefore undergo friction that can be due to: I. OBJECTIVE OF THE EXPERIMENT. Swissmetro travels at high speeds through a tunnel at low pressure. It will therefore undergo friction that can be due to: 1) Viscosity of gas (cf. "Viscosity of gas" experiment)

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

Injection moulding and modelling on a micro scale

Injection moulding and modelling on a micro scale Injection moulding and modelling on a micro scale Technology Update Injection moulding and welding of plastics 11 November 2014 Research Projects (National / European) Micro/Nano/Multimaterial Manufacturing

More information

Measuring Line Edge Roughness: Fluctuations in Uncertainty

Measuring Line Edge Roughness: Fluctuations in Uncertainty Tutor6.doc: Version 5/6/08 T h e L i t h o g r a p h y E x p e r t (August 008) Measuring Line Edge Roughness: Fluctuations in Uncertainty Line edge roughness () is the deviation of a feature edge (as

More information

ELECTRICAL ENGINEERING

ELECTRICAL ENGINEERING EE ELECTRICAL ENGINEERING See beginning of Section H for abbreviations, course numbers and coding. The * denotes labs which are held on alternate weeks. A minimum grade of C is required for all prerequisite

More information

Michael Montgomery Marketing Product Manager Rosemount Inc. Russ Evans Manager of Engineering and Design Rosemount Inc.

Michael Montgomery Marketing Product Manager Rosemount Inc. Russ Evans Manager of Engineering and Design Rosemount Inc. ASGMT / Averaging Pitot Tube Flow Measurement Michael Montgomery Marketing Product Manager Rosemount Inc. Russ Evans Manager of Engineering and Design Rosemount Inc. Averaging Pitot Tube Meters Introduction

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS *

USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS * USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS * JOHN LOO Samsung Austin Semiconductor 12100 Samsung Blvd. Austin, Texas 78754 * Presented at the AVS 5 th International

More information

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Basic Properties of a Digital Design These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Which of these criteria is important

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

D-Link DI-624 Troubleshooting

D-Link DI-624 Troubleshooting D-Link DI-624 Troubleshooting You can use the lights on the front panel of your D-Link DI-624 router to help diagnose home networking connectivity problems. Follow the steps below to diagnose and test

More information

Barrier Coatings: Conversion and Production Status

Barrier Coatings: Conversion and Production Status Transparent SiO 2 Barrier Coatings: Conversion and Production Status E. Finson and J. Felts, Airco Coating Technology, Concord, CA Keywords: Permeation barrier coatings; Reactive evaporation; SiO 2 ABSTRACT

More information

Lithography Part I September, 5 th 2013

Lithography Part I September, 5 th 2013 7. Auswärtsseminar der Arbeitsgruppe Optische Technologien Leupold-Institut für Angewandte Naturwissenschaften (LIAN) der Westsächsischen Hochschule Zwickau Lithography Part I September, 5 th 2013 Heiko

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control

Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control J.N. Kidder, Jr., Yiheng Xu. Nayanee Gupta, Theodosia Gougousi, Laurent Henn-Lecordier, G.W. Rubloff University of

More information

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

Micro-Power Generation

Micro-Power Generation Micro-Power Generation Elizabeth K. Reilly February 21, 2007 TAC-meeting 1 Energy Scavenging for Wireless Sensors Enabling Wireless Sensor Networks: Ambient energy source Piezoelectric transducer technology

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

ViZion Installation Guide

ViZion Installation Guide ViZion Installation Guide v2.0 1 ViZion Installation Guide Table of Contents Inventory Hardware Setup Understanding Synchronization Cable Begin by taking an inventory of the required equipment DR Unit

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Solid State Detectors = Semi-Conductor based Detectors

Solid State Detectors = Semi-Conductor based Detectors Solid State Detectors = Semi-Conductor based Detectors Materials and their properties Energy bands and electronic structure Charge transport and conductivity Boundaries: the p-n junction Charge collection

More information

Sputtering. Ion-Solid Interactions

Sputtering. Ion-Solid Interactions ssistant Professor Department of Microelectronic Engineering Rochester Institute of Technology 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (716) 475-2923 Fax (716) 475-5041 PDRDV@RIT.EDU Page 1

More information

SLC vs. MLC: An Analysis of Flash Memory

SLC vs. MLC: An Analysis of Flash Memory SLC vs. MLC: An Analysis of Flash Memory Examining the Quality of Memory: Understanding the Differences between Flash Grades Table of Contents Abstract... 3 Introduction... 4 Flash Memory Explained...

More information

Sample Problem: STOICHIOMETRY and percent yield calculations. How much H 2 O will be formed if 454 g of. decomposes? NH 4 NO 3 N 2 O + 2 H 2 O

Sample Problem: STOICHIOMETRY and percent yield calculations. How much H 2 O will be formed if 454 g of. decomposes? NH 4 NO 3 N 2 O + 2 H 2 O STOICHIOMETRY and percent yield calculations 1 Steps for solving Stoichiometric Problems 2 Step 1 Write the balanced equation for the reaction. Step 2 Identify your known and unknown quantities. Step 3

More information

To meet the requirements of demanding new

To meet the requirements of demanding new Optimising LED manufacturing LED manufacturers seek new methods to reduce manufacturing costs and improve productivity in an increasingly demanding market. Tom Pierson, Ranju Arya, Columbine Robinson of

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost Comparison study of FETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost David Fried, IBM Thomas Hoffmann, IMEC Bich-Yen Nguyen, SOITEC Sri Samavedam, Freescale Horacio Mendez, SOI Industry

More information

By Randy Heckman, Gregory Roche, James R. Usher of Advanced Energy Industries, Inc.

By Randy Heckman, Gregory Roche, James R. Usher of Advanced Energy Industries, Inc. WHITEPAPER By Randy Heckman, Gregory Roche, James R. Usher of Advanced Energy Industries, Inc. THE EVOLUTION OF RF POWER DELIVERY IN Radio frequency (RF) technology has been around since the beginnings

More information

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius JePPIX Course Processing Wet and dry etching processes Huub Ambrosius Material removal: etching processes Etching is done either in dry or wet methods: Wet etching uses liquid etchants with wafers immersed

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

Department of Electrical and Computer Engineering Ben-Gurion University of the Negev. LAB 1 - Introduction to USRP

Department of Electrical and Computer Engineering Ben-Gurion University of the Negev. LAB 1 - Introduction to USRP Department of Electrical and Computer Engineering Ben-Gurion University of the Negev LAB 1 - Introduction to USRP - 1-1 Introduction In this lab you will use software reconfigurable RF hardware from National

More information

Operational Intelligence Based Actions from Big Data, Analytics and Mobility - Live Demo

Operational Intelligence Based Actions from Big Data, Analytics and Mobility - Live Demo Operational Intelligence Based Actions from Big Data, Analytics and Mobility - Live Demo Geff Wood Director GPM IPS Manufacturing Automation Solutions ARC Industry Forum 2015 : 2/11/2015 1 Global leader

More information

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING Gim S. Chen, Ismail Kashkoush, and Rich E. Novak AKrion LLC 633 Hedgewood Drive, #15 Allentown, PA 1816, USA ABSTRACT Ozone-based HF chemistry

More information

UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES

UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE BOARD OF PATENT APPEALS AND INTERFERENCES Ex parte ELIZABETH G. PAVEL, MARK N. KAWAGUCHI, and JAMES S. PAPANU Appeal 2009-002463 Technology Center 1700

More information

In semiconductor applications, the required mass flows

In semiconductor applications, the required mass flows Model-Based Multi-GasMulti-Range Mass Flow Controllers With Single Gas Calibration and Tuning JOOST LÖTTERS A model has been developed that accurately predicts the behavior of the flow sensor combined

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

CONSTANT HEAD AND FALLING HEAD PERMEABILITY TEST

CONSTANT HEAD AND FALLING HEAD PERMEABILITY TEST CONSTANT HEAD AND FALLING HEAD PERMEABILITY TEST 1 Permeability is a measure of the ease in which water can flow through a soil volume. It is one of the most important geotechnical parameters. However,

More information

RF Etch Monitor. Introduction

RF Etch Monitor. Introduction RF Etch Monitor Introduction A newly designed RF Matching Controller & RF Etch Monitor replaces the old OEM design of the RF Matching Controller and the RF Etch Monitor. OEM RF Matching Controller: - Analog

More information

DEOS. Deutsche Orbitale Servicing Mission. The In-flight Technology Demonstration of Germany s Robotics Approach to Service Satellites

DEOS. Deutsche Orbitale Servicing Mission. The In-flight Technology Demonstration of Germany s Robotics Approach to Service Satellites DEOS Deutsche Orbitale Servicing Mission The In-flight Technology Demonstration of Germany s Robotics Approach to Service Satellites B. Sommer, K. Landzettel, T. Wolf, D. Reintsema, German Aerospace Center

More information

Chapter Test B. Chapter: Measurements and Calculations

Chapter Test B. Chapter: Measurements and Calculations Assessment Chapter Test B Chapter: Measurements and Calculations PART I In the space provided, write the letter of the term or phrase that best completes each statement or best answers each question. 1.

More information

IsumaTV connexion to COOP cable system Set up manual

IsumaTV connexion to COOP cable system Set up manual IsumaTV connexion to COOP cable system Set up manual HotSpot Router Scan Converter IsumaTV Local Server (MP) Video Modulator IsumaTV Local Server (MP): computer mirroring IsumaTV s website. It contains

More information

Encyclopedia of Electrical Engineering, J. G. Webster, Ed., Wiley, to appear, Feb. 1999. STATISTICAL METHODS FOR SEMICONDUCTOR MANUFACTURING

Encyclopedia of Electrical Engineering, J. G. Webster, Ed., Wiley, to appear, Feb. 1999. STATISTICAL METHODS FOR SEMICONDUCTOR MANUFACTURING Encyclopedia of Electrical Engineering, J. G. Webster, Ed., Wiley, to appear, Feb. 999. STATISTICAL METHODS FOR SEMICONDUCTOR MANUFACTURING DUANE S. BONING Massachusetts Institute of Technology Cambridge,

More information

Digital Camera Imaging Evaluation

Digital Camera Imaging Evaluation Digital Camera Imaging Evaluation Presenter/Author J Mazzetta, Electro Optical Industries Coauthors Dennis Caudle, Electro Optical Industries Bob Wageneck, Electro Optical Industries Contact Information

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Qualification Process for Enterprise SSDs

Qualification Process for Enterprise SSDs Qualification Process for Enterprise SSDs Jeffrey A Fritzjunker IBM Rochester, MN Manager, Power Systems Storage Device Qualification Santa Clara, CA 1 Abstract IBM has qualified several generations of

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

IsumaTV. Media Player Setup Manual COOP Cable System. Media Player

IsumaTV. Media Player Setup Manual COOP Cable System. Media Player IsumaTV Media Player Setup Manual COOP Cable System Visual Setup Front: Router Scan Converter Media Player Video Modulator Equipment: Media Player: Router: Scan Converter: Video Modulator: This computer

More information

Reliability Test plan development for electronic components

Reliability Test plan development for electronic components Reliability Test plan development for electronic components Kees Revenberg Co-founder/MD Reliability Seminar @ Electronics & Automation May 30 th 2013 Croesezaal, Jaarbeurs Utrecht MASER Engineering www.maser.nl

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

THE STRUCTURAL HEALTH MONITORING SYSTEM OF THE RION ANTIRION BRIDGE CHARILAOS TRIKOUPIS. Akis Panagis

THE STRUCTURAL HEALTH MONITORING SYSTEM OF THE RION ANTIRION BRIDGE CHARILAOS TRIKOUPIS. Akis Panagis InnoWeek on RES July 03,2013, Patras, Greece THE STRUCTURAL HEALTH MONITORING SYSTEM OF THE RION ANTIRION BRIDGE CHARILAOS TRIKOUPIS Akis Panagis Monitoring engineer Gefyra S.A. INDEX 1. Introduction-Structure

More information

Digital Systems and Microelectronics Emphasis Area

Digital Systems and Microelectronics Emphasis Area Digital Systems and Microelectronics Emphasis Area Professor Classes Research Interests Dr. Sherif Abdelwahed Dr. J. W. Bruce Computer Architecture Model-based computing Embedded Systems VLSI Autonomic

More information

Test-data validation. Ing. Rob Marcelis

Test-data validation. Ing. Rob Marcelis Test-data validation Ing. Rob Marcelis Test-Data Where does it come from? Part-variance / Test-variance Artifacts Zero defect Known good die (bare-die delivery) Test-Data; where does it come from? Spec

More information

Lesson 3: Isothermal Hydrostatic Spheres. B68: a self-gravitating stable cloud. Hydrostatic self-gravitating spheres. P = "kt 2.

Lesson 3: Isothermal Hydrostatic Spheres. B68: a self-gravitating stable cloud. Hydrostatic self-gravitating spheres. P = kt 2. Lesson 3: Isothermal Hydrostatic Spheres B68: a self-gravitating stable cloud Bok Globule Relatively isolated, hence not many external disturbances Though not main mode of star formation, their isolation

More information

State of the art in reactive magnetron sputtering

State of the art in reactive magnetron sputtering State of the art in reactive magnetron sputtering T. Nyberg, O. Kappertz, T. Kubart and S. Berg Solid State Electronics, The Ångström Laboratory, Uppsala University, Box 534, S-751 21 Uppsala, Sweden D.

More information

Adaptive Cruise Control of a Passenger Car Using Hybrid of Sliding Mode Control and Fuzzy Logic Control

Adaptive Cruise Control of a Passenger Car Using Hybrid of Sliding Mode Control and Fuzzy Logic Control Adaptive Cruise Control of a assenger Car Using Hybrid of Sliding Mode Control and Fuzzy Logic Control Somphong Thanok, Manukid arnichkun School of Engineering and Technology, Asian Institute of Technology,

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

3D innovations: From design to reliable systems

3D innovations: From design to reliable systems 3D innovations: From design to reliable systems Uwe Knöchel, Andy Heinig Fraunhofer IIS, Design Automation Division Zeunerstraße 38, 01069 Dresden uwe.knoechel@eas.iis.fraunhofer.de Phone: +49 351 4640

More information

HandPunch. Overview. Biometric Recognition. Installation. Is it safe?

HandPunch. Overview. Biometric Recognition. Installation. Is it safe? HandPunch Overview Biometric Recognition Installation This section describes the HandPunch series of biometric scanners from RSI (Recognition Systems). These instructions apply to all the different HandPunch

More information

PRESSURE REDUCER AND RELIEF VALVES WITH METALLIC BELLOW FOR WATER STEAM

PRESSURE REDUCER AND RELIEF VALVES WITH METALLIC BELLOW FOR WATER STEAM PRESSURE REDUCER AND RELIEF VALVES WITH METALLIC BELLOW FOR WATER STEAM PRESSURE REDUCER AND RELIEF VALVES These devices are used to reduce and control a gaseous flow pressure (air, steam, gas ). The PRESSURE

More information

Figure 2.31. CPT Equipment

Figure 2.31. CPT Equipment Soil tests (1) In-situ test In order to sound the strength of the soils in Las Colinas Mountain, portable cone penetration tests (Japan Geotechnical Society, 1995) were performed at three points C1-C3

More information

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators Dr Peter Hockley and Professor Mike Thwaites, Plasma Quest Limited

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society MACHINE VISION FOR SMARTPHONES Essential machine vision camera requirements to fulfill the needs of our society INTRODUCTION With changes in our society, there is an increased demand in stateof-the art

More information