Objective In this lab we will cover lab guidelines as well as a reviewing vhdl programming paradigms.

Size: px
Start display at page:

Download "Objective In this lab we will cover lab guidelines as well as a reviewing vhdl programming paradigms."

From this document you will learn the answers to the following questions:

  • What is the purpose of creating a testbench?

  • What is the first click to get to the VHDL program file?

  • What does patience need to understand to complete this lab?

Transcription

1 CSCE 2214 Lab 01 Week 01 Pre-Knowledge In order to complete this lab you will need and understanding of VHDL as well as patience (an important quality for any computer engineer). Objective In this lab we will cover lab guidelines as well as a reviewing vhdl programming paradigms. Additional Materials 1 - VHDL Tutorial Learn by Example : Some VHDL code examples to help you be familiar with the language. 2 Book: The Designer s Guide to VDHL by Peter J. Ashenden Lab Execution Guidelines: For each lab there will be a report. This report will consist of a text that follows the report guideline, your vhdl code file, and screenshots of your working code. These files should be placed together in a single file, printed, and then turned into your TA. Your lab report is due the week after you finish lab. The lab be composed of a report worth 70 points (20 from the report and 50 for the vhdl files) and possibly a pre-lab worth 30 points. On labs that take place over multiple weeks there will be only a single lab report due the week after the lab is completed. Lab reports suffer a 10% per day overall penalty for late work. Tools: In this lab we will be using two sets of tools: Xilinx ISE and Modelsim. In the first lab we will only use ISE. To use ISE navigate to the program by following the file path specified. C:\ProgramData\Microsoft\Windows\Start Menu\Programs\Xilinx ISE Design Suite 12.3\ISE Design Tools\64-bit Project Navigator When you start up ISE you may have a license problem. If you do a dialogue box will open that provides several options for handling licensing problems. Complete the following steps to resolve your licensing issue: In the dialogue box that opens, select the Manage Xilinx Licenses tab Enter "2011@hthreads.ddns.uark.edu" for XILINXD_LICENSE_FILE and click Set After the list populates, enter "1717@comp.uark.edu" for LM_LICENSE_FILE and click Set Click Ok in any dialog boxes

2 Click Close VHDL Programming instructions: For this lab your goal is to create and test a modulo 10 counter. This is simply a counter that counts from 0 to 9. The counter should have a Clock, Enable, and Reset signal. The counter has the following functionality. The clock is positive edge triggered (the circuit changes when the clock goes from 0 to 1) Enable is active high (the circuit is enabled when the enable signal is a 1) o When enable is not active the system does not count Reset is active high (the system resets to 0 when the reset signal is a 1) o Reset is synchronous and happens regardless of the value of enable To create the counter you will need to create a new vhdl project with ISE. In order to do this, follow the guide presented below. 1. Open the ISE 2. Create a new project

3 3. Chose the location and give a name to your project : Do not use the default user directory. It is write only. Instead select your desktop or documents directory and create a lab folder. 4. Make sure the family is Virtex5 5. Click the next and finish.

4 6. Add a new VHDL module into your projec: Right click the project, chose New Source 7. Chose VHDL Module and give it a name.then Click next

5 8. Click Next and Finish. From this point on you are free to program the counter. If you are unsure of your VHDL skills or need a quick refressher, several tutorial websites have been downloaded and placed in the zip file containing this lab. Creating a test bench: Once you are finished with the counter you will need to test it. A test bench is a file that allows you to determine if a module you have created functions as intended. In vhdl this is a file that contains our counter as a component. The testbench will have a signal for each input and output present on the component. The test bench will write values to the input signals in the component and see what the output looks like. The output will be displayed in waveform format. In this lab we will use ISE to generate a basic testbench template that we will then fill in. To create the testbench, follow the guide presented below. 1. Right click the project, chose New Source

6 2. Chose VHDL Test Bench and give it a name.then Click next 3. On the next screen select the source file you wish to create a testbench for (in this case our counter), and click next and then finish.

7 In your generated testbench you will see several things. The entity statement: an empty entity statement (a testbench does not have input or output signals) The Architecture statement: Declarations 1. A component declaration for the component you are testing. This allows the design to be instantiated in the testbench. 2. Signal declarations designated inputs and outputs. These are internal signals that match up with the signals we wish to test on the component. We will manipulate the inputs and watch the outputs to test our design. 3. A constant declaration called CLK_period. This is how long our clock period will be. The Architecture statement: Component and process statements 1. You will see your component declared a. It will be called uut (Unit Under Test) b. The name will be followed by the component name and a port map statement c. This declaration is similar to declaring an instance of a class in an object oriented programming language. 2. The CLK_process declaration: This process has no sensitivity list so it will continually run over and over again. The process consists of assigning a value of 0 or 1 to the clock followed by a wait of half the defined CLK_period. a. This process is responsible for alternating the clock as the simulation runs 3. The Stimulus process: this process is used to assign values to the circuit inputs. It also has no sensitivity list and as such must always end with a wait; statement so that it does not loop forever. In order to test your design you should assign values to your reset and enable signals and see what happens. To do this assign values to those signals you wish to test then use the wait for # ns; command (where # is replaced by a numerical value that should be some multiple of CLK_period). This will allow your design to run for that period of time (and thus allow the output of your circuit for those values to appear in the waveform). From that point on you should test all combinations of inputs to verify your circuit works. Example: reset <=1; wait for 100 ns; reset <=0; wait for 100 ns; -- resetting the system sig_1 <= 0; sig_2 <=0;. sig_n <= 0; wait for 100 ns; -- beginning stimulus testing sig_1 <= 1; sig_2 <=0;. sig_n <= 0; wait for 100 ns; sig_1 <= 0; sig_2 <=1;. sig_n <= 0; wait for 100 ns;... sig_1 <= 1; sig_2 <=1;. sig_n <= 1; wait for 100 ns; -- end stimulus testing

8 NOTE: ALWAYS RESET YOUR SYSTEM FIRST IN YOUR STIMULUS PROCESS. Once you ve finished your test bench you can simulate it from within ISE by clicking on the simulation option in the design viewbox (the one with all the file dropdowns), selecting your testbench, expanding the ISim simulator option below, and clicking simulate behavioral model (Note: you may have to zoom out in the window that opens). You can also click Behavioral Check Syntax to check your code for correct syntax > Lab Week 2: As mentioned earlier this is a two week lab. The lab assignment for next week builds upon what we have accomplished this week. Your assignment is to design a clock consisting of modulo 60 counters and a modulo 24 counter. The counters have the same specification as provided above. You should also design a test bench for your clock. Note: your clock does not need to tell time accurately, it only needs to operate functionally. Lab 1 Report Information: The report for this lab should include code and screenshots from both weeks of lab. The text portion of the report should refer to both weeks of lab.

VHDL Test Bench Tutorial

VHDL Test Bench Tutorial University of Pennsylvania Department of Electrical and Systems Engineering ESE171 - Digital Design Laboratory VHDL Test Bench Tutorial Purpose The goal of this tutorial is to demonstrate how to automate

More information

Lab 1: Full Adder 0.0

Lab 1: Full Adder 0.0 Lab 1: Full Adder 0.0 Introduction In this lab you will design a simple digital circuit called a full adder. You will then use logic gates to draw a schematic for the circuit. Finally, you will verify

More information

Lesson 1 - Creating a Project

Lesson 1 - Creating a Project Lesson 1 - Creating a Project The goals for this lesson are: Create a project A project is a collection entity for an HDL design under specification or test. Projects ease interaction with the tool and

More information

Lab 1: Introduction to Xilinx ISE Tutorial

Lab 1: Introduction to Xilinx ISE Tutorial Lab 1: Introduction to Xilinx ISE Tutorial This tutorial will introduce the reader to the Xilinx ISE software. Stepby-step instructions will be given to guide the reader through generating a project, creating

More information

Digital Circuit Design Using Xilinx ISE Tools

Digital Circuit Design Using Xilinx ISE Tools Digital Circuit Design Using Xilinx ISE Tools Contents 1. Introduction... 1 2. Programmable Logic Device: FPGA... 2 3. Creating a New Project... 2 4. Synthesis and Implementation of the Design... 11 5.

More information

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER OBJECTIVES 1. Learn the basic elements of VHDL that are implemented in Warp. 2. Build a simple application using VHDL and

More information

Lab 3: Introduction to Data Acquisition Cards

Lab 3: Introduction to Data Acquisition Cards Lab 3: Introduction to Data Acquisition Cards INTRODUCTION: In this lab, you will be building a VI to display the input measured on a channel. However, within your own VI you will use LabVIEW supplied

More information

Software Version 10.0d. 1991-2011 Mentor Graphics Corporation All rights reserved.

Software Version 10.0d. 1991-2011 Mentor Graphics Corporation All rights reserved. ModelSim Tutorial Software Version 10.0d 1991-2011 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation. The original recipient

More information

Start Active-HDL by double clicking on the Active-HDL Icon (windows).

Start Active-HDL by double clicking on the Active-HDL Icon (windows). Getting Started Using Aldec s Active-HDL This guide will give you a short tutorial in using the project mode of Active-HDL. This tutorial is broken down into the following sections 1. Part 1: Compiling

More information

After opening the Programs> Xilinx ISE 8.1i > Project Navigator, you will come to this screen as start-up.

After opening the Programs> Xilinx ISE 8.1i > Project Navigator, you will come to this screen as start-up. After opening the Programs> Xilinx ISE 8.1i > Project Navigator, you will come to this screen as start-up. Start with a new project. Enter a project name and be sure to select Schematic as the Top-Level

More information

Practice Fusion API Client Installation Guide for Windows

Practice Fusion API Client Installation Guide for Windows Practice Fusion API Client Installation Guide for Windows Quickly and easily connect your Results Information System with Practice Fusion s Electronic Health Record (EHR) System Table of Contents Introduction

More information

7-1. This chapter explains how to set and use Event Log. 7.1. Overview... 7-2 7.2. Event Log Management... 7-2 7.3. Creating a New Event Log...

7-1. This chapter explains how to set and use Event Log. 7.1. Overview... 7-2 7.2. Event Log Management... 7-2 7.3. Creating a New Event Log... 7-1 7. Event Log This chapter explains how to set and use Event Log. 7.1. Overview... 7-2 7.2. Event Log Management... 7-2 7.3. Creating a New Event Log... 7-5 7-2 7.1. Overview The following are the basic

More information

Capture Pro Software FTP Server System Output

Capture Pro Software FTP Server System Output Capture Pro Software FTP Server System Output Overview The Capture Pro Software FTP server will transfer batches and index data (that have been scanned and output to the local PC) to an FTP location accessible

More information

7-1. This chapter explains how to set and use Event Log. 7.1. Overview... 7-2 7.2. Event Log Management... 7-2 7.3. Creating a New Event Log...

7-1. This chapter explains how to set and use Event Log. 7.1. Overview... 7-2 7.2. Event Log Management... 7-2 7.3. Creating a New Event Log... 7-1 7. Event Log This chapter explains how to set and use Event Log. 7.1. Overview... 7-2 7.2. Event Log Management... 7-2 7.3. Creating a New Event Log... 7-6 7-2 7.1. Overview The following are the basic

More information

ModelSim-Altera Software Simulation User Guide

ModelSim-Altera Software Simulation User Guide ModelSim-Altera Software Simulation User Guide ModelSim-Altera Software Simulation User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01102-2.0 Document last updated for Altera Complete

More information

Chapter 7 Event Log...2.1 - Overview

Chapter 7 Event Log...2.1 - Overview Chapter 7 Event Log...2 7.1 Event Log Management...2 7.1.1 Excel Editing...4 7.2 Create a New Event Log...5 7.2.1 Event (Alarm) Log General Settings...5 7.2.2 Event (Alarm) Log Message Settings...7 7.2.3

More information

meridianemr PATIENT PORTAL Release Notes

meridianemr PATIENT PORTAL Release Notes meridianemr PATIENT PORTAL Release Notes Click HERE to watch the video tutorials http://hitsehrtraining.com/training/memr/new%20releases/5_9/index.html Version 2.0 July 14, 2014 TABLE OF CONTENTS Contents

More information

Vodafone PC SMS 2010. (Software version 4.7.1) User Manual

Vodafone PC SMS 2010. (Software version 4.7.1) User Manual Vodafone PC SMS 2010 (Software version 4.7.1) User Manual July 19, 2010 Table of contents 1. Introduction...4 1.1 System Requirements... 4 1.2 Reply-to-Inbox... 4 1.3 What s new?... 4 2. Installation...6

More information

FPGA Synthesis Example: Counter

FPGA Synthesis Example: Counter FPGA Synthesis Example: Counter Peter Marwedel Informatik XII, U. Dortmund Gliederung Einführung SystemC Vorlesungen und Programmierung FPGAs - Vorlesungen - VHDL-basierte Konfiguration von FPGAs mit dem

More information

Installation Guidelines (MySQL database & Archivists Toolkit client)

Installation Guidelines (MySQL database & Archivists Toolkit client) Installation Guidelines (MySQL database & Archivists Toolkit client) Understanding the Toolkit Architecture The Archivists Toolkit requires both a client and database to function. The client is installed

More information

Jump-Start Tutorial For ProcessModel

Jump-Start Tutorial For ProcessModel Jump-Start Tutorial For ProcessModel www.blueorange.org.uk ProcessModel Jump-Start Tutorial This tutorial provides step-by-step instructions for creating a process model, running the simulation, and viewing

More information

State of Michigan Data Exchange Gateway. Web-Interface Users Guide 12-07-2009

State of Michigan Data Exchange Gateway. Web-Interface Users Guide 12-07-2009 State of Michigan Data Exchange Gateway Web-Interface Users Guide 12-07-2009 Page 1 of 21 Revision History: Revision # Date Author Change: 1 8-14-2009 Mattingly Original Release 1.1 8-31-2009 MM Pgs 4,

More information

Xythos on Demand Quick Start Guide For Xythos Drive

Xythos on Demand Quick Start Guide For Xythos Drive Xythos on Demand Quick Start Guide For Xythos Drive What is Xythos on Demand? Xythos on Demand is not your ordinary online storage or file sharing web site. Instead, it is an enterprise-class document

More information

7-1. This chapter explains how to set and use Event Log. 7.1. Overview... 7-2 7.2. Event Log Management... 7-2 7.3. Creating a New Event Log...

7-1. This chapter explains how to set and use Event Log. 7.1. Overview... 7-2 7.2. Event Log Management... 7-2 7.3. Creating a New Event Log... 7-1 7. Event Log This chapter explains how to set and use Event Log. 7.1. Overview... 7-2 7.2. Event Log Management... 7-2 7.3. Creating a New Event Log... 7-6 7-2 7.1. Overview The following are the basic

More information

How schedule AccuTRConsole to run every hour

How schedule AccuTRConsole to run every hour How schedule AccuTRConsole to run every hour If you have had problems with getting your reports to send out from AccuTrack or AccuSQL 2014 consistently with the Windows Service AccuTaskRunner.exe we have

More information

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Embedded Processor Hardware Design January 29 th 2015. VIVADO TUTORIAL 1 Table of Contents Requirements... 3 Part 1:

More information

Installing and Configuring Microsoft Dynamics Outlook Plugin to Use with ipipeline MS CRM

Installing and Configuring Microsoft Dynamics Outlook Plugin to Use with ipipeline MS CRM Installing and Configuring Microsoft Dynamics Outlook Plugin to Use with ipipeline MS CRM Downloading 1. Download zip file for your version of Outlook (32-bit or 64-bit) and save to computer. (This is

More information

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC.

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC. 8.1 Objectives To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC. 8.2 Introduction Circuits for counting events are frequently used in computers and other digital

More information

Using these objects to view the process of the whole event from triggering waiting for processing until alarm stops. Define event content first.

Using these objects to view the process of the whole event from triggering waiting for processing until alarm stops. Define event content first. Chapter 7 Event Log... 2 7.1 Event Log Management... 2 7.1.1 Excel Editing... 3 7.2 Create a New Event Log... 4 7.2.1 Alarm (Event) Log General Settings... 4 7.2.2 Alarm (Event) Log Message Settings...

More information

How to install and use the File Sharing Outlook Plugin

How to install and use the File Sharing Outlook Plugin How to install and use the File Sharing Outlook Plugin Thank you for purchasing Green House Data File Sharing. This guide will show you how to install and configure the Outlook Plugin on your desktop.

More information

Cluster to Cluster Failover Using Double-Take

Cluster to Cluster Failover Using Double-Take Cluster to Cluster Failover Using Double-Take Cluster to Cluster Failover Using Double-Take published August 2001 NSI and Double-Take are registered trademarks of Network Specialists, Inc. GeoCluster is

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

Previewing & Publishing

Previewing & Publishing Getting Started 1 Having gone to some trouble to make a site even simple sites take a certain amount of time and effort it s time to publish to the Internet. In this tutorial we will show you how to: Use

More information

Multi-Router Traffic Grapher (MRTG)

Multi-Router Traffic Grapher (MRTG) Multi-Router Traffic Grapher (MRTG) MULTI-ROUTER TRAFFIC GRAPHER (MRTG)... 1 Overview... 1 Installing MRTG... 1 Viewing the Results... 10 Notes... 13 Troubleshooting... 13 Document Revision History...

More information

Xilinx ISE. <Release Version: 10.1i> Tutorial. Department of Electrical and Computer Engineering State University of New York New Paltz

Xilinx ISE. <Release Version: 10.1i> Tutorial. Department of Electrical and Computer Engineering State University of New York New Paltz Xilinx ISE Tutorial Department of Electrical and Computer Engineering State University of New York New Paltz Fall 2010 Baback Izadi Starting the ISE Software Start ISE from the

More information

SECURE MOBILE ACCESS MODULE USER GUIDE EFT 2013

SECURE MOBILE ACCESS MODULE USER GUIDE EFT 2013 SECURE MOBILE ACCESS MODULE USER GUIDE EFT 2013 GlobalSCAPE, Inc. (GSB) Address: 4500 Lockhill-Selma Road, Suite 150 San Antonio, TX (USA) 78249 Sales: (210) 308-8267 Sales (Toll Free): (800) 290-5054

More information

TortoiseGIT / GIT Tutorial: Hosting a dedicated server with auto commit periodically on Windows 7 and Windows 8

TortoiseGIT / GIT Tutorial: Hosting a dedicated server with auto commit periodically on Windows 7 and Windows 8 TortoiseGIT / GIT Tutorial: Hosting a dedicated server with auto commit periodically on Windows 7 and Windows 8 Abstract This is a tutorial on how to host a dedicated gaming server on Windows 7 and Windows

More information

Create a New Database in Access 2010

Create a New Database in Access 2010 Create a New Database in Access 2010 Table of Contents OVERVIEW... 1 CREATING A DATABASE... 1 ADDING TO A DATABASE... 2 CREATE A DATABASE BY USING A TEMPLATE... 2 CREATE A DATABASE WITHOUT USING A TEMPLATE...

More information

MS Excel Template Building and Mapping for Neat 5

MS Excel Template Building and Mapping for Neat 5 MS Excel Template Building and Mapping for Neat 5 Neat 5 provides the opportunity to export data directly from the Neat 5 program to an Excel template, entering in column information using receipts saved

More information

BUILD2WIN Lab 2.6. What s New in BUILD2WIN?

BUILD2WIN Lab 2.6. What s New in BUILD2WIN? BUILD2WIN Lab 2.6 What s New in BUILD2WIN? Please Note: Please do not remove lab binders or handouts from the Software Solutions Center. Electronic copies of all lab documentation are available for download

More information

SELF SERVICE RESET PASSWORD MANAGEMENT CREATING CUSTOM REPORTS GUIDE

SELF SERVICE RESET PASSWORD MANAGEMENT CREATING CUSTOM REPORTS GUIDE SELF SERVICE RESET PASSWORD MANAGEMENT CREATING CUSTOM REPORTS GUIDE Copyright 1998-2015 Tools4ever B.V. All rights reserved. No part of the contents of this user guide may be reproduced or transmitted

More information

October, 2015. Install/Uninstall Xerox Print Drivers & Apps Best Practices for Windows 8, 8.1, and 10 Customer Tip

October, 2015. Install/Uninstall Xerox Print Drivers & Apps Best Practices for Windows 8, 8.1, and 10 Customer Tip October, 2015 Install/Uninstall Xerox Print Drivers & Apps Best Practices for Windows 8, 8.1, and 10 Customer Tip 2015 Xerox Corporation. All rights reserved. Xerox, Xerox and Design, ColorQube, and WorkCentre

More information

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev. 1.4. Key Design Features. Block Diagram. Generic Parameters.

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev. 1.4. Key Design Features. Block Diagram. Generic Parameters. Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core 16-bit signed output samples 32-bit phase accumulator (tuning word) 32-bit phase shift feature Phase resolution of 2π/2

More information

Arena Tutorial 1. Installation STUDENT 2. Overall Features of Arena

Arena Tutorial 1. Installation STUDENT 2. Overall Features of Arena Arena Tutorial This Arena tutorial aims to provide a minimum but sufficient guide for a beginner to get started with Arena. For more details, the reader is referred to the Arena user s guide, which can

More information

16.4.3 Optional Lab: Data Backup and Recovery in Windows 7

16.4.3 Optional Lab: Data Backup and Recovery in Windows 7 16.4.3 Optional Lab: Data Backup and Recovery in Windows 7 Introduction Print and complete this lab. In this lab, you will back up data. You will also perform a recovery of the data. Recommended Equipment

More information

Chapter 11 Managing Core Database Downloads

Chapter 11 Managing Core Database Downloads Chapter 11 Managing Core Database Downloads Research Insight versions 7.7 and higher offer automated delivery of the COMPUSTAT core databases over the Internet through the Database Manager application.

More information

Generating MIF files

Generating MIF files Generating MIF files Introduction In order to load our handwritten (or compiler generated) MIPS assembly problems into our instruction ROM, we need a way to assemble them into machine language and then

More information

1. Downloading. 2. Installation and License Acquiring. Xilinx ISE Webpack + Project Setup Instructions

1. Downloading. 2. Installation and License Acquiring. Xilinx ISE Webpack + Project Setup Instructions Xilinx ISE Webpack + Project Setup Instructions 1. Downloading The Xilinx tools are free for download from their website and can be installed on your Windowsbased PC s. Go to the following URL: http://www.xilinx.com/support/download/index.htm

More information

Information & Communication Technologies FTP and GroupWise Archives Wilfrid Laurier University

Information & Communication Technologies FTP and GroupWise Archives Wilfrid Laurier University Instructions for MAC users MAC users have not been joined to the Active Directory Domain. ICT is unable to capture GroupWise archives you may have on your computer automatically or confirm if you have

More information

ISE In-Depth Tutorial 10.1

ISE In-Depth Tutorial 10.1 ISE In-Depth Tutorial 10.1 R Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of designs to operate on, or interface with Xilinx

More information

Bradley University College of Liberal Arts and Sciences Department of Computer Sciences and Information Systems

Bradley University College of Liberal Arts and Sciences Department of Computer Sciences and Information Systems Bradley University College of Liberal Arts and Sciences Department of Computer Sciences and Information Systems Computer Lab # 1 Time Management (with Microsoft Project 2007) Lab Manual (with master s

More information

Introduction: The Xcode templates are not available in Cordova-2.0.0 or above, so we'll use the previous version, 1.9.0 for this recipe.

Introduction: The Xcode templates are not available in Cordova-2.0.0 or above, so we'll use the previous version, 1.9.0 for this recipe. Tutorial Learning Objectives: After completing this lab, you should be able to learn about: Learn how to use Xcode with PhoneGap and jquery mobile to develop iphone Cordova applications. Learn how to use

More information

Setting up VMware ESXi for 2X VirtualDesktopServer Manual

Setting up VMware ESXi for 2X VirtualDesktopServer Manual Setting up VMware ESXi for 2X VirtualDesktopServer Manual URL: www.2x.com E-mail: info@2x.com Information in this document is subject to change without notice. Companies, names, and data used in examples

More information

Section 9. Topics Covered. Using the Out of Office Assistant... 9-2 Working offline... 9-10. Time Required: 30 Mins

Section 9. Topics Covered. Using the Out of Office Assistant... 9-2 Working offline... 9-10. Time Required: 30 Mins Section 9 Topics Covered Using the Out of Office Assistant... 9-2 Working offline... 9-10 Time Required: 30 Mins 9-1 Using the Out of Office Assistant The Out of Office Assistant can be used to handle

More information

Active Directory Integration

Active Directory Integration January 11, 2011 Author: Audience: SWAT Team Evaluator Product: Cymphonix Network Composer EX Series, XLi OS version 9 Active Directory Integration The following steps will guide you through the process

More information

Importing Contacts to Outlook

Importing Contacts to Outlook Importing Contacts to Outlook 1. The first step is to create a file of your contacts from the National Chapter Database. 2. You create this file under Reporting, Multiple. You will follow steps 1 and 2

More information

HHC Compensation Module Training Document

HHC Compensation Module Training Document HHC Compensation Module Training Document CONTENTS 1. ICP Compensation Module Installation...3 2. Launch the compensation...6 3. Setup Survey Setup/Import Data Initial Setup...6 4. Exporting the Master

More information

Getting Started Using Mentor Graphic s ModelSim

Getting Started Using Mentor Graphic s ModelSim Getting Started Using Mentor Graphic s ModelSim There are two modes in which to compile designs in ModelSim, classic/traditional mode and project mode. This guide will give you a short tutorial in using

More information

A Verilog HDL Test Bench Primer Application Note

A Verilog HDL Test Bench Primer Application Note A Verilog HDL Test Bench Primer Application Note Table of Contents Introduction...1 Overview...1 The Device Under Test (D.U.T.)...1 The Test Bench...1 Instantiations...2 Figure 1- DUT Instantiation...2

More information

NetBeans IDE Field Guide

NetBeans IDE Field Guide NetBeans IDE Field Guide Copyright 2005 Sun Microsystems, Inc. All rights reserved. Table of Contents Introduction to J2EE Development in NetBeans IDE...1 Configuring the IDE for J2EE Development...2 Getting

More information

USB GSM 3G modem RMS-U-GSM-3G. Manual (PDF) Version 1.0, 2014.8.1

USB GSM 3G modem RMS-U-GSM-3G. Manual (PDF) Version 1.0, 2014.8.1 USB GSM 3G modem RMS-U-GSM-3G Manual (PDF) Version 1.0, 2014.8.1 2014 CONTEG, spol. s r.o. All rights reserved. No part of this publication may be used, reproduced, photocopied, transmitted or stored in

More information

MailStore Outlook Add-in Deployment

MailStore Outlook Add-in Deployment MailStore Outlook Add-in Deployment A MailStore Server installation deploys the MailStore Outlook Add-in as a Windows Installer package (MSI) that can be installed on client machines using software distribution.

More information

Using Microsoft Visual Studio 2010. API Reference

Using Microsoft Visual Studio 2010. API Reference 2010 API Reference Published: 2014-02-19 SWD-20140219103929387 Contents 1... 4 Key features of the Visual Studio plug-in... 4 Get started...5 Request a vendor account... 5 Get code signing and debug token

More information

Microsoft Office 365 Portal

Microsoft Office 365 Portal Microsoft Office 365 Portal Once you logon, you are placed in the Admin page if you are an adminstrator. Here you will manage permissions for SharePoint, install Office Professional for Windows users,

More information

Desktop, Web and Mobile Testing Tutorials

Desktop, Web and Mobile Testing Tutorials Desktop, Web and Mobile Testing Tutorials * Windows and the Windows logo are trademarks of the Microsoft group of companies. 2 About the Tutorial With TestComplete, you can test applications of three major

More information

TECHNICAL BULLETIN. Configuring Wireless Settings in an i-stat 1 Wireless Analyzer

TECHNICAL BULLETIN. Configuring Wireless Settings in an i-stat 1 Wireless Analyzer i-stat TECHNICAL BULLETIN Configuring Wireless Settings in an i-stat 1 Wireless Analyzer Before configuring wireless settings, please enable the wireless functionality by referring to the Technical Bulletin

More information

Search help. More on Office.com: images templates

Search help. More on Office.com: images templates Page 1 of 14 Access 2010 Home > Access 2010 Help and How-to > Getting started Search help More on Office.com: images templates Access 2010: database tasks Here are some basic database tasks that you can

More information

10.3.1.4 Lab - Data Backup and Recovery in Windows 7

10.3.1.4 Lab - Data Backup and Recovery in Windows 7 5.0 10.3.1.4 Lab - Data Backup and Recovery in Windows 7 Introduction Print and complete this lab. In this lab, you will back up data. You will also perform a recovery of the data. Recommended Equipment

More information

Manchester Encoder-Decoder for Xilinx CPLDs

Manchester Encoder-Decoder for Xilinx CPLDs Application Note: CoolRunner CPLDs R XAPP339 (v.3) October, 22 Manchester Encoder-Decoder for Xilinx CPLDs Summary This application note provides a functional description of VHDL and Verilog source code

More information

Chapter 7 Event Log. 7.1 Event Log Management

Chapter 7 Event Log. 7.1 Event Log Management Chapter 7 Event Log... 2 7.1 Event Log Management... 2 7.1.1 Excel Editing... 4 7.2 Create a New Event Log... 5 7.2.1 Event (Alarm) Log General Settings... 5 7.2.2 Event (Alarm) Log Message Settings...

More information

PCIe Core Output Products Generation (Generate Example Design)

PCIe Core Output Products Generation (Generate Example Design) Xilinx Answer 53786 7-Series Integrated Block for PCI Express in Vivado Important Note: This downloadable PDF of an Answer Record is provided to enhance its usability and readability. It is important to

More information

Creating a Project with PSoC Designer

Creating a Project with PSoC Designer Creating a Project with PSoC Designer PSoC Designer is two tools in one. It combines a full featured integrated development environment (IDE) with a powerful visual programming interface. The two tools

More information

Design Example: Counters. Design Example: Counters. 3-Bit Binary Counter. 3-Bit Binary Counter. Other useful counters:

Design Example: Counters. Design Example: Counters. 3-Bit Binary Counter. 3-Bit Binary Counter. Other useful counters: Design Eample: ers er: a sequential circuit that repeats a specified sequence of output upon clock pulses. A,B,C,, Z. G, O, T, E, R, P, S,!.,,,,,,,7. 7,,,,,,,.,,,,,,,,,,,. Binary counter: follows the binary

More information

Downloading Driver Files

Downloading Driver Files The following instructions are for all DPAS supported Zebra printers except the Zebra GK420t. The ZDesigner R110Xi4 203 dpi driver has been tested and recommended for DPAS use. This driver will support

More information

SuperOffice AS. CRM Online. Introduction to importing contacts

SuperOffice AS. CRM Online. Introduction to importing contacts SuperOffice AS CRM Online Introduction to importing contacts Index Revision history... 2 How to do an import of contacts in CRM Online... 3 Before you start... 3 Prepare the file you wish to import...

More information

Commander. The World's Leading Software for Label, Barcode, RFID & Card Printing

Commander. The World's Leading Software for Label, Barcode, RFID & Card Printing The World's Leading Software for Label, Barcode, RFID & Card Printing Commander Middleware for Automatically Printing in Response to User-Defined Events Contents Overview of How Commander Works 4 Triggers

More information

Modeling Registers and Counters

Modeling Registers and Counters Lab Workbook Introduction When several flip-flops are grouped together, with a common clock, to hold related information the resulting circuit is called a register. Just like flip-flops, registers may

More information

Monitoring Oracle Enterprise Performance Management System Release 11.1.2.3 Deployments from Oracle Enterprise Manager 12c

Monitoring Oracle Enterprise Performance Management System Release 11.1.2.3 Deployments from Oracle Enterprise Manager 12c Monitoring Oracle Enterprise Performance Management System Release 11.1.2.3 Deployments from Oracle Enterprise Manager 12c This document describes how to set up Oracle Enterprise Manager 12c to monitor

More information

CREATE REPORTS IN TASKSTREAM

CREATE REPORTS IN TASKSTREAM CREATE REPORTS IN TASKSTREAM In order to create reports in TaskStream you have to be assigned permission by the TaskStream Coordinator. If you are unsure, contact Daryl Six at daryl.six@eku.edu. 1. Performance

More information

ICP Data Entry Module Training document. HHC Data Entry Module Training Document

ICP Data Entry Module Training document. HHC Data Entry Module Training Document HHC Data Entry Module Training Document Contents 1. Introduction... 4 1.1 About this Guide... 4 1.2 Scope... 4 2. Step for testing HHC Data Entry Module.. Error! Bookmark not defined. STEP 1 : ICP HHC

More information

Building A Very Simple Web Site

Building A Very Simple Web Site Sitecore CMS 6.2 Building A Very Simple Web Site Rev 100601 Sitecore CMS 6. 2 Building A Very Simple Web Site A Self-Study Guide for Developers Table of Contents Chapter 1 Introduction... 3 Chapter 2 Building

More information

How to Give Admin Rights to Students on the ADGRM Domain

How to Give Admin Rights to Students on the ADGRM Domain How to Give Admin Rights to Students on the ADGRM Domain Contents Active Directory User and Computer... 2 Directory Tree Navigation... 3 The Computer folder... 3 The People Folder... 4 Creating a User

More information

Creating and Issuing the Workstation Authentication Certificate Template on the Certification Authority

Creating and Issuing the Workstation Authentication Certificate Template on the Certification Authority In this post we will see the steps for deploying the client certificate for windows computers. This post is a part of Deploy PKI Certificates for SCCM 2012 R2 Step by Step Guide. In the previous post we

More information

Jianjian Song LogicWorks 4 Tutorials (5/15/03) Page 1 of 14

Jianjian Song LogicWorks 4 Tutorials (5/15/03) Page 1 of 14 LogicWorks 4 Tutorials Jianjian Song Department of Electrical and Computer Engineering Rose-Hulman Institute of Technology March 23 Table of Contents LogicWorks 4 Installation and update...2 2 Tutorial

More information

Important Notes for WinConnect Server VS Software Installation:

Important Notes for WinConnect Server VS Software Installation: Important Notes for WinConnect Server VS Software Installation: 1. Only Windows Vista Business, Windows Vista Ultimate, Windows 7 Professional, Windows 7 Ultimate, Windows Server 2008 (32-bit & 64-bit),

More information

Migration User Guides: The Console Email Application Setup Guide

Migration User Guides: The Console Email Application Setup Guide Migration User Guides: The Console Email Application Setup Guide Version 1.0 1 Contents Introduction 3 What are my email software settings? 3 Popular email software setup tutorials 3 Apple Mail OS Maverick

More information

Setting up Citrix XenServer for 2X VirtualDesktopServer Manual

Setting up Citrix XenServer for 2X VirtualDesktopServer Manual Setting up Citrix XenServer for 2X VirtualDesktopServer Manual URL: www.2x.com E-mail: info@2x.com Information in this document is subject to change without notice. Companies, names, and data used in examples

More information

Example of Implementing Folder Synchronization with ProphetX

Example of Implementing Folder Synchronization with ProphetX External Storage Folder Synchronization Utility The Folder Configuration Utility is an application that uses file synching software that links your computers together via a single folder. The software

More information

SafeWord Domain Login Agent Step-by-Step Guide

SafeWord Domain Login Agent Step-by-Step Guide SafeWord Domain Login Agent Step-by-Step Guide Author Johan Loos Date January 2009 Version 1.0 Contact johan@accessdenied.be Table of Contents Table of Contents... 2 Why SafeWord Agent for Windows Domains?...

More information

Help File. Version 1.1.4.0 February, 2010. MetaDigger for PC

Help File. Version 1.1.4.0 February, 2010. MetaDigger for PC Help File Version 1.1.4.0 February, 2010 MetaDigger for PC How to Use the Sound Ideas MetaDigger for PC Program: The Sound Ideas MetaDigger for PC program will help you find and work with digital sound

More information

Tool Tip. SyAM Management Utilities and Non-Admin Domain Users

Tool Tip. SyAM Management Utilities and Non-Admin Domain Users SyAM Management Utilities and Non-Admin Domain Users Some features of SyAM Management Utilities, including Client Deployment and Third Party Software Deployment, require authentication credentials with

More information

Tutorial 5: Developing Java applications

Tutorial 5: Developing Java applications Tutorial 5: Developing Java applications p. 1 Tutorial 5: Developing Java applications Georgios Gousios gousiosg@aueb.gr Department of Management Science and Technology Athens University of Economics and

More information

From Data Modeling to Data Dictionary Written Date : January 20, 2014

From Data Modeling to Data Dictionary Written Date : January 20, 2014 Written Date : January 20, 2014 Data modeling is the process of representing data objects to use in an information system. In Visual Paradigm, you can perform data modeling by drawing Entity Relationship

More information

CHAPTER 11: Flip Flops

CHAPTER 11: Flip Flops CHAPTER 11: Flip Flops In this chapter, you will be building the part of the circuit that controls the command sequencing. The required circuit must operate the counter and the memory chip. When the teach

More information

End User Configuration

End User Configuration CHAPTER114 The window in Cisco Unified Communications Manager Administration allows the administrator to add, search, display, and maintain information about Cisco Unified Communications Manager end users.

More information

IGSS. Interactive Graphical SCADA System. Quick Start Guide

IGSS. Interactive Graphical SCADA System. Quick Start Guide IGSS Interactive Graphical SCADA System Quick Start Guide Page 2 of 26 Quick Start Guide Introduction This guide is intended to get you up and running with the IGSS FREE50 license as fast as possible.

More information

Getting Started with the Ed-Fi ODS and Ed-Fi ODS API

Getting Started with the Ed-Fi ODS and Ed-Fi ODS API Getting Started with the Ed-Fi ODS and Ed-Fi ODS API Ed-Fi ODS and Ed-Fi ODS API Version 2.0 - Technical Preview October 2014 2014 Ed-Fi Alliance, LLC. All rights reserved. Ed-Fi is a registered trademark

More information

DsPIC HOW-TO GUIDE Creating & Debugging a Project in MPLAB

DsPIC HOW-TO GUIDE Creating & Debugging a Project in MPLAB DsPIC HOW-TO GUIDE Creating & Debugging a Project in MPLAB Contents at a Glance 1. Introduction of MPLAB... 4 2. Development Tools... 5 3. Getting Started... 6 3.1. Create a Project... 8 3.2. Start MPLAB...

More information

RemoteApp Reference Guide. Outline

RemoteApp Reference Guide. Outline Outline System Requirements... 1 About RemoteApp... 1 Use of RemoteApp... 2 Launching a program with RemoteApp... 2 Using Multiple RemoteApp Programs... 3 RemoteApp and CDs, DVDs, USB Drives, Portable

More information

MPLAB X + CCS C Compiler Tutorial

MPLAB X + CCS C Compiler Tutorial MPLAB X + CCS C Compiler Tutorial How to install the CCS C Compiler inside MPLAB X Before the CCS C Compiler can be used inside MPLAB X, the CCS C MPLAB X Plug-in must be installed. This process can be

More information