EELE 262 Logic Circuits Lab Lab #7 Combinational Logic Design with VHDL (7-Segment Display Decoder)

Size: px
Start display at page:

Download "EELE 262 Logic Circuits Lab Lab #7 Combinational Logic Design with VHDL (7-Segment Display Decoder)"

Transcription

1 Lab #7 Combinational Logic Design with VHDL (7-Segment Display Decoder) Objective The objective of this lab is to learn how to implement combinational logic using VHDL and implement the circuitry on an FPGA. This lab will also cover interfacing between an FPGA platform and a breadboard. Outcomes After completing this lab you should be able to: Create a combinational logic design in VHDL and use the Altera Quartus II design environment to create an FPGA design using the modern digital design flow (e.g., HDL, synthesis, technology mapping, place and route, pin assignments, bitstream creation). Design a 4-input decoder circuit to drive symbols on a common-anode 7-segment display. Pre-Lab A) Download the data sheet for the Kingbright SA03-11HDB 7-segment display from the course website and put it in your lab notebook. You only need the first 2 pages. B) You will be provided a 7-segment display, 100ohm resistors, jumper wires and pins that will allow you to connect a DE0-nano FPGA platform pins to your breadboard. Place the 7-segment display on your breadboard and wire up the circuitry that will allow the DE0-nano board to drive it. The following figures show the schematic for your breadboard and a picture of how to place the circuitry on your breadboard. You will connect the DE0-nano board to your breadboard once you come to lab. (breadboard schematic for pre-lab) (example breadboard wiring) C) Fill out the following truth table for the 7-segment display. You are going to create a decoder that will take in a 4-bit code from the DIP switches on the DE0-nano board and drive the equivalent HEX character on the 7-segment display on your breadboard. The DIP switches produce a logic 0 when ON. In order to convert this to positive logic, we will place inverters on the FPGA. You can fill out the table based on positive logic coming from the switches (e.g., 0000 = 0, 1111 = F). The 7-segment display is a common anode configuration (see circuit diagram in datasheet). That means that you provide a power supply voltage to the entire display (e.g., the common anode). In order to turn on one of the LED segments, you pull its pin LOW by driving a logic 0 to the individual segment pin. If you drive the segment pin HIGH, it will turn the LED off. In the following truth table, enter the decoder output values considering this commonanode structure (e.g., if you want the LED segment ON, enter a 0, if you want it OFF, enter a 1. The first entry is given for you to get you started.

2 2

3 Lab Work & Demonstration The first part of this lab will consist of walking through a tutorial in order to create an FPGA design that will drive the 4-bit input code from the DIP switches to the LEDs on the DE0-nano board. The design will consists of 4 inverters in order to convert the switches to positive logic. The second part of this lab will be to design the 7-segment display decoder in VHDL, connect the DE0-nano board to your breadboard, and test your design. The following figure shows the block diagram of the circuits you will be using: 1) Creating an FPGA Design for the DE0-nano that drives the Switches to the LEDs through inverters. A) Connect the DE0-nano board located in the lab to your breadboard as in the above pictures. B) Log into the lab computers using your MSU domain account. C) Create a folder for today s lab. If you wish, you can work on the desktop for now and then copy over to your own drive when finished. Name the folder something descriptive such as Lab07_7segment. D) Start the Altera Quartus II design tool - Start All Programs Altera 12.1 Build 177 (Web Edition) Quartus II 12.1 Quartus II 12.1 The following window will appear (it might take a minute). 3

4 E) Create a new project using the Project Wizard File New Project Wizard The following Window will appear (i): Click Next In the next window (ii), browse to the folder you created and choose Select Folder. Enter top as the name of the project and top-level design entity. i ii Click Next The next screen (iii) allows you to add existing VHDL files. We will create a new file later. Click Next In the next window (iv), choose the FPGA device. The DE0-nano board contains a Cyclone IV E, EP4CE22F17C6. Choose the Cyclone IV E as the Device Family and Select the EP4CE22F17C6 as the device. 4

5 iii iv Click Next on the next screen (v) and Finish on the last screen (vi). v vi F) Create a new VHDL design file called top.vhd File New VHDL File OK. A blank text file will appear. We need to first save this as top.vhd File Save As. The file name should default to top.vhd, verify it is named correctly and click Save 5

6 G) Enter the VHDL entity for the system. The entity contains all of the ports for the system. Based on the block diagram provided above, the ports are: Inputs: SW (3 downto 0) This is the 4-bit vector for the DIP switches Outputs: LED (3 downto 0) This is the 4-bit vector for the LEDs (0,1,2,3) on the DE0-nano Segment_a Segment_b Segment_c Segment_d Segment_e Segment_f Segment_g These lines will drive the LED segments of the 7-segment display. They go to the GPIO_0 header on the DE0-nano board. These pins are connected to your breadboard using jumper wires. Type in the following entity definition in your top.vhd file: entity top is port (SW : in BIT_VECTOR (3 downto 0); LED : out BIT_VECTOR (3 downto 0); Segment_a : out BIT; Segment_b : out BIT; Segment_c : out BIT; Segment_d : out BIT; Segment_e : out BIT; Segment_f : out BIT; Segment_g : out BIT); end entity; 6

7 H) Create the VHDL architecture for the system. Enter the architecture for the design. The architecture is where you describe the functionality of the system. Type in the following: architecture top_arch of top is signal SWn : BIT_VECTOR (3 downto 0); begin SWn <= not SW; LED <= SWn; end architecture; In this VHDL, we create an internal signal vector called SWn. This will be the name of the internal vector that holds the inverted values of the DIP switches. We will need an internal signal vector name when we do the 7-segment decoder circuit in part 2. After the begin statement is where we design the functionality of the system. The line SWn <= not SW describes 4 inverters connected between the 4-bits of SW and the 4-bits of SWn. The line LED <= SWn connects the internal nodes to the output ports that are driving the LEDs on the DE0 nano board. At this point, compile your design to make sure there are no syntax errors. You can compile by either using the pull-down menus (Processing Start Compilation) or by double clicking on the Compile Design task in the Flow window on the left side of the Quartus window. If you experience compile errors, fix them and recompile until you are successful. 7

8 I) Assign the pins of the FPGA We now need to tell Quartus where the ports of our entity should be connected to the pins of the FPGA. Launch the Pin Planner tool using the pull-down menus (Assignments Pin Planner). You will see a graphical depiction of the FPGA pins. At the bottom, you will see all of the ports that you defined in your entity. For each port, double click in the Location box and enter the following pin locations: SW[0], PIN_M1 SW[1], PIN_T8 SW[2], PIN_B9 SW[3], PIN_M15 LED[0], PIN_A15 LED[1], PIN_A13 LED[2], PIN_B13 LED[3], PIN_A11 Segment_a, PIN_D3 Segment_b, PIN_C3 Segment_c, PIN_A3 Segment_d, PIN_B4 Segment_e, PIN_B5 Segment_f, PIN_D5 Segment_g, PIN_A6 Once done, close the Pin Planner window (it will save automatically). Now recompile your design. 8

9 J) Program the FPGA We are now going to download our design to the FPGA. Connect the DE0-nano board to the computer using the retractable USB cable. In the Flow window of Quartus, double click on Program Device (Open Programmer). Click the Start button in the programmer window: K) Test your design You should now see the values of the DIP switches being displayed on the LEDS. Verify operation of your design by toggling each of the 4 DIP switches. The 4 LEDs should be lit when the switch is set to ON. You will need to use a toothpick or something similar to change the switch values. TA will check off 9

10 2) Design the 7-segment Display Decoder: A) Enter VHDL to implement the decoder We are going to implement the 7-segment decoder using a selected signal assignment. This is a convenient way to describe large combinational logic circuits. The selected signal assignment is simpler if we first define an internal signal vector that we can make our output assignments to. We will call this internal signal vector DISPLAY. Once done, we will assign the individual bits of DISPLAY to the output pins Segment_a, Segment_b, etc Enter the following signal definition before the begin statement in your top.vhd: signal DISPLAY : BIT_VECTOR (6 downto 0); Now enter the selected signal assignment for the decoder. This will go below your assignments to the LEDs: with (SWn) select DISPLAY <= " " when "0000", " " when "0001", " " when "0010", " " when "0011", " " when "0100", " " when "0101", " " when "0110", " " when "0111", " " when "1000", " " when "1001", " " when "1010", " " when "1011", " " when "1100", " " when "1101", " " when "1110", " " when "1111"; Finally, assign the individual bits of DISPLAY to the output ports to drive the segments: Segment_a <= DISPLAY(6); Segment_b <= DISPLAY(5); Segment_c <= DISPLAY(4); Segment_d <= DISPLAY(3); Segment_e <= DISPLAY(2); Segment_f <= DISPLAY(1); Segment_g <= DISPLAY(0); Your final architecture will look like this: (Note: comments are indicated with - - and will appear green in Quartus.) 10

11 Lab Grading B) Recompile your design. Fix any compile errors you have. C) Download your program to the FPGA. D) Test your design. Verify that each of the 16 HEX codes are successfully displayed for each 4-bit input code on the DIP switches. TA will check off Pre-Lab / 10 Lab Demo (step 1) / 45 Lab Demo (step 2) / 45 Total /

Xilinx ISE. <Release Version: 10.1i> Tutorial. Department of Electrical and Computer Engineering State University of New York New Paltz

Xilinx ISE. <Release Version: 10.1i> Tutorial. Department of Electrical and Computer Engineering State University of New York New Paltz Xilinx ISE Tutorial Department of Electrical and Computer Engineering State University of New York New Paltz Fall 2010 Baback Izadi Starting the ISE Software Start ISE from the

More information

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER OBJECTIVES 1. Learn the basic elements of VHDL that are implemented in Warp. 2. Build a simple application using VHDL and

More information

Lab 1: Introduction to Xilinx ISE Tutorial

Lab 1: Introduction to Xilinx ISE Tutorial Lab 1: Introduction to Xilinx ISE Tutorial This tutorial will introduce the reader to the Xilinx ISE software. Stepby-step instructions will be given to guide the reader through generating a project, creating

More information

Quartus II Introduction Using VHDL Design

Quartus II Introduction Using VHDL Design Quartus II Introduction Using VHDL Design This tutorial presents an introduction to the Quartus R II CAD system. It gives a general overview of a typical CAD flow for designing circuits that are implemented

More information

2. Scope of the DE0 Board and Supporting Material

2. Scope of the DE0 Board and Supporting Material 1 Getting Started with Altera s DE0 Board This document describes the scope of Altera s DE0 Development and Education Board and the supporting materials provided by the Altera Corporation. It also explains

More information

Lab 1: Full Adder 0.0

Lab 1: Full Adder 0.0 Lab 1: Full Adder 0.0 Introduction In this lab you will design a simple digital circuit called a full adder. You will then use logic gates to draw a schematic for the circuit. Finally, you will verify

More information

EXPERIMENT 4. Parallel Adders, Subtractors, and Complementors

EXPERIMENT 4. Parallel Adders, Subtractors, and Complementors EXPERIMENT 4. Parallel Adders, Subtractors, and Complementors I. Introduction I.a. Objectives In this experiment, parallel adders, subtractors and complementors will be designed and investigated. In the

More information

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Embedded Processor Hardware Design January 29 th 2015. VIVADO TUTORIAL 1 Table of Contents Requirements... 3 Part 1:

More information

Using Xilinx ISE for VHDL Based Design

Using Xilinx ISE for VHDL Based Design ECE 561 Project 4-1 - Using Xilinx ISE for VHDL Based Design In this project you will learn to create a design module from VHDL code. With Xilinx ISE, you can easily create modules from VHDL code using

More information

Switch board datasheet EB007-00-1

Switch board datasheet EB007-00-1 Switch board datasheet EB007-00-1 Contents 1. About this document... 2 2. General information... 3 3. Board layout... 4 4. Testing this product... 5 5. Circuit description... 6 Appendix 1 Circuit diagram

More information

Introduction to the Altera Qsys System Integration Tool. 1 Introduction. For Quartus II 12.0

Introduction to the Altera Qsys System Integration Tool. 1 Introduction. For Quartus II 12.0 Introduction to the Altera Qsys System Integration Tool For Quartus II 12.0 1 Introduction This tutorial presents an introduction to Altera s Qsys system inegration tool, which is used to design digital

More information

An Introduction to MPLAB Integrated Development Environment

An Introduction to MPLAB Integrated Development Environment An Introduction to MPLAB Integrated Development Environment 2004 Microchip Technology Incorporated An introduction to MPLAB Integrated Development Environment Slide 1 This seminar is an introduction to

More information

Start A New Project with Keil Microcontroller Development Kit Version 5 and Freescale FRDM-KL25Z

Start A New Project with Keil Microcontroller Development Kit Version 5 and Freescale FRDM-KL25Z Start A New Project with Keil Microcontroller Development Kit Version 5 and Freescale FRDM-KL25Z This tutorial is intended for starting a new project to develop software with Freescale FRDM-KL25Z board

More information

Tutorial: Configuring GOOSE in MiCOM S1 Studio 1. Requirements

Tutorial: Configuring GOOSE in MiCOM S1 Studio 1. Requirements Tutorial: Configuring GOOSE in MiCOM S1 Studio 1. Requirements - Two (2) MiCOM Px4x IEDs with Version 2 implementation of IEC 61850 - Two (2) Cat 5E Ethernet cable - An Ethernet switch 10/100 Mbps - MiCOM

More information

Multiplexers Two Types + Verilog

Multiplexers Two Types + Verilog Multiplexers Two Types + Verilog ENEE 245: Digital Circuits and ystems Laboratory Lab 7 Objectives The objectives of this laboratory are the following: To become familiar with continuous ments and procedural

More information

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit 1 Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENT OF FOR THE DEGREE IN Bachelor of Technology In Electronics and Communication

More information

Lab 17: Building a 4-Digit 7-Segment LED Decoder

Lab 17: Building a 4-Digit 7-Segment LED Decoder Phys2303 L.A. Bumm [Nexys 1.1.2] Lab 17 (p1) Lab 17: Building a 4-Digit 7-Segment LED Decoder In this lab your will make 4 test circuits, the 4-digit 7-segment decoder, and demonstration circuit using

More information

How to install and use the File Sharing Outlook Plugin

How to install and use the File Sharing Outlook Plugin How to install and use the File Sharing Outlook Plugin Thank you for purchasing Green House Data File Sharing. This guide will show you how to install and configure the Outlook Plugin on your desktop.

More information

Quartus II Introduction for VHDL Users

Quartus II Introduction for VHDL Users Quartus II Introduction for VHDL Users This tutorial presents an introduction to the Quartus II software. It gives a general overview of a typical CAD flow for designing circuits that are implemented by

More information

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition A Tutorial Approach James O. Hamblen Georgia Institute of Technology Michael D. Furman Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS Boston

More information

EXPERIMENT 8. Flip-Flops and Sequential Circuits

EXPERIMENT 8. Flip-Flops and Sequential Circuits EXPERIMENT 8. Flip-Flops and Sequential Circuits I. Introduction I.a. Objectives The objective of this experiment is to become familiar with the basic operational principles of flip-flops and counters.

More information

QUICK START GUIDE. SG2 Client - Programming Software SG2 Series Programmable Logic Relay

QUICK START GUIDE. SG2 Client - Programming Software SG2 Series Programmable Logic Relay QUICK START GUIDE SG2 Client - Programming Software SG2 Series Programmable Logic Relay SG2 Client Programming Software T he SG2 Client software is the program editor for the SG2 Series Programmable Logic

More information

SKP16C62P Tutorial 1 Software Development Process using HEW. Renesas Technology America Inc.

SKP16C62P Tutorial 1 Software Development Process using HEW. Renesas Technology America Inc. SKP16C62P Tutorial 1 Software Development Process using HEW Renesas Technology America Inc. 1 Overview The following tutorial is a brief introduction on how to develop and debug programs using HEW (Highperformance

More information

ELEC 2210 - EXPERIMENT 1 Basic Digital Logic Circuits

ELEC 2210 - EXPERIMENT 1 Basic Digital Logic Circuits Objectives ELEC - EXPERIMENT Basic Digital Logic Circuits The experiments in this laboratory exercise will provide an introduction to digital electronic circuits. You will learn how to use the IDL-00 Bit

More information

Active Learning in the Introduction to Digital Logic Design Laboratory Course

Active Learning in the Introduction to Digital Logic Design Laboratory Course Active Learning in the Introduction to Digital Logic Design Laboratory Course Jing Pang Department of Electrical and Electronic Engineering, Computer Engineering Program, California State University, Sacramento,

More information

Installing Remote Desktop Connection

Installing Remote Desktop Connection SETTING UP YOUR REMOTE DESKTOP This section will assist you in setting you Remote Desktop Connection. This will allow you to create an icon for easy access to your virtual desktop. DISCLAIMER: All images

More information

Chapter 1 DE2 115 Package... 4. 1.1 Package Contents...4. 1.2 The DE2-115 Board Assembly...5. Chapter 2 Introduction of the Altera DE2 115 Board...

Chapter 1 DE2 115 Package... 4. 1.1 Package Contents...4. 1.2 The DE2-115 Board Assembly...5. Chapter 2 Introduction of the Altera DE2 115 Board... 1 CONTENTS Chapter 1 DE2 115 Package... 4 1.1 Package Contents...4 1.2 The DE2-115 Board Assembly...5 1.3 Getting Help...6 Chapter 2 Introduction of the Altera DE2 115 Board... 7 2.1 Layout and Components...7

More information

FORDHAM UNIVERSITY CISC 3593. Dept. of Computer and Info. Science Spring, 2011. Lab 2. The Full-Adder

FORDHAM UNIVERSITY CISC 3593. Dept. of Computer and Info. Science Spring, 2011. Lab 2. The Full-Adder FORDHAM UNIVERSITY CISC 3593 Fordham College Lincoln Center Computer Organization Dept. of Computer and Info. Science Spring, 2011 Lab 2 The Full-Adder 1 Introduction In this lab, the student will construct

More information

EDK 350 (868 MHz) EDK 350U (902 MHz) EnOcean Developer Kit

EDK 350 (868 MHz) EDK 350U (902 MHz) EnOcean Developer Kit EDK 350 (868 MHz) EDK 350U (902 MHz) EnOcean Developer Kit EDK 350 User Manual Important Notes This information describes the type of component and shall not be considered as assured characteristics. No

More information

Lab 3: Introduction to Data Acquisition Cards

Lab 3: Introduction to Data Acquisition Cards Lab 3: Introduction to Data Acquisition Cards INTRODUCTION: In this lab, you will be building a VI to display the input measured on a channel. However, within your own VI you will use LabVIEW supplied

More information

Objectives: Part 1: Build a simple power supply. CS99S Laboratory 1

Objectives: Part 1: Build a simple power supply. CS99S Laboratory 1 CS99S Laboratory 1 Objectives: 1. Become familiar with the breadboard 2. Build a logic power supply 3. Use switches to make 1s and 0s 4. Use LEDs to observe 1s and 0s 5. Make a simple oscillator 6. Use

More information

3. USB DRIVER. 3-1. Installation of USB driver. 3-1-1. Driver for Infinity SD Data Logger. INFINITY Series Acquisition Tools Manual

3. USB DRIVER. 3-1. Installation of USB driver. 3-1-1. Driver for Infinity SD Data Logger. INFINITY Series Acquisition Tools Manual 3. USB DRIVER 3-1. Installation of USB driver Before you launch this software, the driver should be once surely registered. Access between the instrument and a PC through the USB cable supplied by us as

More information

Laboratory VHDL introduction

Laboratory VHDL introduction Laboratory VHDL introduction Digital Design IE1204 (Note! not included for IE1205) Attention! To access the laboratory experiment you must have: booked a lab time in the reservation system (Daisy). completed

More information

Two's Complement Adder/Subtractor Lab L03

Two's Complement Adder/Subtractor Lab L03 Two's Complement Adder/Subtractor Lab L03 Introduction Computers are usually designed to perform indirect subtraction instead of direct subtraction. Adding -B to A is equivalent to subtracting B from A,

More information

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED www.analog.com www.hittite.com THIS PAGE INTENTIONALLY LEFT BLANK PLL & PLL with Integrated VCO Evaluation

More information

SETUP GUIDE: MOON USB HD DSD Driver. MOON Nēo 340i D 3 MOON Nēo 350P D 3. MOON Evolution 780D. Musical Ecstasy. Date Code: 20150820

SETUP GUIDE: MOON USB HD DSD Driver. MOON Nēo 340i D 3 MOON Nēo 350P D 3. MOON Evolution 780D. Musical Ecstasy. Date Code: 20150820 SETUP GUIDE: MOON USB HD DSD Driver MOON Nēo 230HAD MOON Nēo 280D DSD MOON Nēo 340i D 3 MOON Nēo 350P D 3 MOON Nēo 380D DSD MOON Nēo 430HA MOON Evolution 780D Musical Ecstasy Date Code: 20150820 USB HD

More information

Mentor Tools tutorial Bold Browser Design Manager Design Architect Library Components Quicksim Creating and Compiling the VHDL Model.

Mentor Tools tutorial Bold Browser Design Manager Design Architect Library Components Quicksim Creating and Compiling the VHDL Model. Mentor Tools tutorial Bold Browser Design Manager Design Architect Library Components Quicksim Creating and Compiling the VHDL Model. Introduction To Mentor Graphics Mentor Graphics BOLD browser allows

More information

Installing the USB driver for Firmware 7 or later For use with E-blocks programmer boards and PICmicro Development boards.

Installing the USB driver for Firmware 7 or later For use with E-blocks programmer boards and PICmicro Development boards. Installing the USB driver for Firmware 7 or later For use with E-blocks programmer boards and PICmicro Development boards. Matrix Multimedia USB PICmicro programmer boards with Firmware version 7 or later

More information

The 104 Duke_ACC Machine

The 104 Duke_ACC Machine The 104 Duke_ACC Machine The goal of the next two lessons is to design and simulate a simple accumulator-based processor. The specifications for this processor and some of the QuartusII design components

More information

INDEX. Trademarks All name and product s trademarks mentioned below are the property of their respective companies.

INDEX. Trademarks All name and product s trademarks mentioned below are the property of their respective companies. USB2.0 EASY IDE ADAPTER INDEX Trademarks ---------------------------------------------------------------------------- Introduction ---------------------------------------------------------------------------

More information

Creating Cost Recovery Layouts

Creating Cost Recovery Layouts Contents About Creating Cost Recovery Layouts Creating New Layouts Defining Record Selection Rules Testing Layouts Processing Status Creating Cost Recovery Layouts About Creating Cost Recovery Layouts

More information

Programming PIC Microcontrollers in PicBasic Pro Lesson 1 Cornerstone Electronics Technology and Robotics II

Programming PIC Microcontrollers in PicBasic Pro Lesson 1 Cornerstone Electronics Technology and Robotics II Programming PIC Microcontrollers in PicBasic Pro Lesson 1 Cornerstone Electronics Technology and Robotics II Administration: o Prayer PicBasic Pro Programs Used in This Lesson: o General PicBasic Pro Program

More information

To successfully initialize Microsoft Outlook (Outlook) the first time, email settings need to be verified.

To successfully initialize Microsoft Outlook (Outlook) the first time, email settings need to be verified. TO: UAN CLIENTS FROM: UAN STAFF DATE: OCTOBER 8, 2008 SUBJECT: Steps for Initial Setup of Microsoft Outlook To successfully initialize Microsoft Outlook (Outlook) the first time, email settings need to

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

Physics 226 FPGA Lab #1 SP Wakely. Terasic DE0 Board. Getting Started

Physics 226 FPGA Lab #1 SP Wakely. Terasic DE0 Board. Getting Started Physics 226 FPGA Lab #1 SP Wakely I Terasic DE0 Board The DE0 Development and Education board is designed in a compact size with all the essential tools for novice users to gain knowledge in areas of digital

More information

Installing S500 Power Monitor Software and LabVIEW Run-time Engine

Installing S500 Power Monitor Software and LabVIEW Run-time Engine EigenLight S500 Power Monitor Software Manual Software Installation... 1 Installing S500 Power Monitor Software and LabVIEW Run-time Engine... 1 Install Drivers for Windows XP... 4 Install VISA run-time...

More information

Guide to Installing BBL Crystal MIND on Windows 7

Guide to Installing BBL Crystal MIND on Windows 7 Guide to Installing BBL Crystal MIND on Windows 7 Introduction The BBL Crystal MIND software can not be directly installed on the Microsoft Windows 7 platform, however it can be installed and run via XP

More information

Connecting your Omega/BetaPAT PLUS to a PC via a USB

Connecting your Omega/BetaPAT PLUS to a PC via a USB Connecting your Omega/BetaPAT PLUS to a PC via a USB Install software Windows XP and below Insert the disc into your computers disc drive and run through the setup wizard. Windows Vista & 7 1. Insert the

More information

USB to RS-422/485 Serial Adapter

USB to RS-422/485 Serial Adapter USB to RS-422/485 Serial Adapter User Manual Ver. 2.00 All brand names and trademarks are properties of their respective owners. Contents: Chapter 1: Introduction... 3 1.1 Product Introduction... 3 1.2

More information

TE100-P21/TEW-P21G Windows 7 Installation Instruction

TE100-P21/TEW-P21G Windows 7 Installation Instruction Hardware Installation TE100-P21/TEW-P21G Windows 7 Installation Instruction 1. Go to http://www.trendnet.com/downloads/ to download the Windows 7 Utility. Save the file to your desktop. 2. Right click

More information

Virtual Office Remote Installation Guide

Virtual Office Remote Installation Guide Virtual Office Remote Installation Guide Table of Contents VIRTUAL OFFICE REMOTE INSTALLATION GUIDE... 3 UNIVERSAL PRINTER CONFIGURATION INSTRUCTIONS... 12 CHANGING DEFAULT PRINTERS ON LOCAL SYSTEM...

More information

Config software for D2 systems USER S MANUAL

Config software for D2 systems USER S MANUAL DT-CONFIG SOFTWARE Config software for D2 systems USER S MANUAL CONTENTS 1. Introductions ------------------------------------------------------------------- 3 2. System Requirement and Connection ----------------------------------------

More information

Using Remote Web Workplace Version 1.01

Using Remote Web Workplace Version 1.01 Using Remote Web Workplace Version 1.01 Remote web workplace allows you to access your Windows XP desktop through Small Business Server 2003 from a web browser. 1. Connect to the Internet in your remote

More information

Jianjian Song LogicWorks 4 Tutorials (5/15/03) Page 1 of 14

Jianjian Song LogicWorks 4 Tutorials (5/15/03) Page 1 of 14 LogicWorks 4 Tutorials Jianjian Song Department of Electrical and Computer Engineering Rose-Hulman Institute of Technology March 23 Table of Contents LogicWorks 4 Installation and update...2 2 Tutorial

More information

Additional Setup Instructions for Modbus: RTU, ASCII, TCP, Omni & Enron

Additional Setup Instructions for Modbus: RTU, ASCII, TCP, Omni & Enron Additional Setup Instructions for Modbus: RTU, ASCII, TCP, Omni & Enron Copyright 2000 2010 Frontline Test Equipment, Inc. All rights reserved. You may not reproduce, transmit, or store on magnetic media

More information

Adafruit's Raspberry Pi Lesson 5. Using a Console Cable

Adafruit's Raspberry Pi Lesson 5. Using a Console Cable Adafruit's Raspberry Pi Lesson 5. Using a Console Cable Created by Simon Monk Last updated on 2014-09-15 12:00:13 PM EDT Guide Contents Guide Contents Overview You Will Need Part Software Installation

More information

Getting Started with Embedded System Development using MicroBlaze processor & Spartan-3A FPGAs. MicroBlaze

Getting Started with Embedded System Development using MicroBlaze processor & Spartan-3A FPGAs. MicroBlaze Getting Started with Embedded System Development using MicroBlaze processor & Spartan-3A FPGAs This tutorial is an introduction to Embedded System development with the MicroBlaze soft processor and low

More information

Installing PowerLink on Windows 7 64-bit

Installing PowerLink on Windows 7 64-bit Instruction Manual Version 1.0, Code No. 20 751 806 1 Introduction... 3 2 Installing Virtual PC... 3 3 Configuring Windows XP Mode... 5 4 Installing Powerlink to Virtual XP... 8 5 Run PowerLink software

More information

Programming the On-Chip Flash on a phycore-xc161 phycore-xc167

Programming the On-Chip Flash on a phycore-xc161 phycore-xc167 Application Note Programming the On-Chip Flash on a phycore-xc161 phycore-xc167 Application Note Edition July 2003 LAN-020e_1 Application Note Preface...1 1 Installing Infineon MemTool...2 2 Preparing

More information

Congratulations on your purchase of a BPM Microsystems device programmer. Your new device programmer was designe d to provid e years of suppor t for

Congratulations on your purchase of a BPM Microsystems device programmer. Your new device programmer was designe d to provid e years of suppor t for Congratulations on your purchase of a BPM Microsystems device programmer. Your new device programmer was designe d to provid e years of suppor t for thousand s of devices, with the use of optional socket

More information

Lab 9 Access PreLab Copy the prelab folder, Lab09 PreLab9_Access_intro

Lab 9 Access PreLab Copy the prelab folder, Lab09 PreLab9_Access_intro Lab 9 Access PreLab Copy the prelab folder, Lab09 PreLab9_Access_intro, to your M: drive. To do the second part of the prelab, you will need to have available a database from that folder. Creating a new

More information

How to use SURA in three simple steps:

How to use SURA in three simple steps: How to use SURA in three simple steps: Most of SURA s functionality can be accessed through these three steps. 1) Download SURA to your computer Go to the SU Downloads page to obtain the SURA utility.

More information

Livezilla How to Install on Shared Hosting http://www.jonathanmanning.com By: Jon Manning

Livezilla How to Install on Shared Hosting http://www.jonathanmanning.com By: Jon Manning Livezilla How to Install on Shared Hosting By: Jon Manning This is an easy to follow tutorial on how to install Livezilla 3.2.0.2 live chat program on a linux shared hosting server using cpanel, linux

More information

isppac-powr1220at8 I 2 C Hardware Verification Utility User s Guide

isppac-powr1220at8 I 2 C Hardware Verification Utility User s Guide November 2005 Introduction Application Note AN6067 The isppac -POWR1220AT8 device from Lattice is a full-featured second-generation Power Manager chip. As part of its feature set, this device supports

More information

Controlling a Dot Matrix LED Display with a Microcontroller

Controlling a Dot Matrix LED Display with a Microcontroller Controlling a Dot Matrix LED Display with a Microcontroller By Matt Stabile and programming will be explained in general terms as well to allow for adaptation to any comparable microcontroller or LED matrix.

More information

Note: With v3.2, the DocuSign Fetch application was renamed DocuSign Retrieve.

Note: With v3.2, the DocuSign Fetch application was renamed DocuSign Retrieve. Quick Start Guide DocuSign Retrieve 3.2.2 Published April 2015 Overview DocuSign Retrieve is a windows-based tool that "retrieves" envelopes, documents, and data from DocuSign for use in external systems.

More information

Digital Circuit Design Using Xilinx ISE Tools

Digital Circuit Design Using Xilinx ISE Tools Digital Circuit Design Using Xilinx ISE Tools Contents 1. Introduction... 1 2. Programmable Logic Device: FPGA... 2 3. Creating a New Project... 2 4. Synthesis and Implementation of the Design... 11 5.

More information

SAFEPATH 4 Telephone Zone Controller

SAFEPATH 4 Telephone Zone Controller SAFEPATH 4 Telephone Zone Controller SP4-TZC P/N 109921 SP4-TZC-P P/N 105590 Installation, Testing, Operation and Maintenance Manual 273 Branchport Avenue, Long Branch, NJ 07740-6899 Ph: (800) 631-2148

More information

IPRO Viewer. Installation

IPRO Viewer. Installation IPRO Viewer Attention: This guide is intended to help those who are authorized to install content onto their computers with the installation of IPRO Premium Viewer software. Please contact your IT personnel

More information

Printed Circuit Board Design with HDL Designer

Printed Circuit Board Design with HDL Designer Printed Circuit Board Design with HDL Designer Tom Winkert Teresa LaFourcade NASNGoddard Space Flight Center 301-286-291 7 NASNGoddard Space Flight Center 301-286-0019 tom.winkert8 nasa.gov teresa. 1.

More information

RS-232/422/485, Power over Ethernet

RS-232/422/485, Power over Ethernet IP-COM-M IP-COMi-M IP-COM-M PoE IP-COMi-M PoE RS-232 RS-232/422/485 RS-232, Power over Ethernet RS-232/422/485, Power over Ethernet Edition: September 2012 The computer programs provided with the hardware

More information

BE635 User Manual. Rev. V1.0. 2013-2014 Bolymin, Inc. All Rights Reserved.

BE635 User Manual. Rev. V1.0. 2013-2014 Bolymin, Inc. All Rights Reserved. BE635 User Manual Rev. V1.0 2013-2014 Bolymin, Inc. All Rights Reserved. Copyright Copyright 2013-2014 BOLYMIN, INC. All rights reserved. No part of the materials may be reproduced, copied or translated

More information

SA-9600 Surface Area Software Manual

SA-9600 Surface Area Software Manual SA-9600 Surface Area Software Manual Version 4.0 Introduction The operation and data Presentation of the SA-9600 Surface Area analyzer is performed using a Microsoft Windows based software package. The

More information

Installing the IF-NMEASC & SC30 Windows XP Drivers & Software

Installing the IF-NMEASC & SC30 Windows XP Drivers & Software Installing the IF-NMEASC & SC30 Windows XP Drivers & Software The following document will outline the installation and use of the IF-NMEASC and SC-30 USB drivers and SC-30Tool software in three parts:

More information

PRODUCTIVITY THROUGH INNOVATION 600 CONTROL DIRECT DRIVE TECHNICAL/OPERATION MANUAL

PRODUCTIVITY THROUGH INNOVATION 600 CONTROL DIRECT DRIVE TECHNICAL/OPERATION MANUAL Rev. D PRODUCTIVITY THROUGH INNOVATION 600 CONTROL DIRECT DRIVE TECHNICAL/OPERATION MANUAL 10 BORIGHT AVENUE, KENILWORTH NEW JERSEY 07033 TELEPHONE: 800-524-0273 FAX: 908-686-9317 TABLE OF CONTENTS Page

More information

ISP Engineering Kit Model 300

ISP Engineering Kit Model 300 TM ISP Engineering Kit Model 300 December 2013 Model 300 Overview The Model 300 programmer supports JTAG programming of all Lattice devices that feature non-volatile configuration elements. The Model 300

More information

AVR Butterfly Training. Atmel Norway, AVR Applications Group

AVR Butterfly Training. Atmel Norway, AVR Applications Group AVR Butterfly Training Atmel Norway, AVR Applications Group 1 Table of Contents INTRODUCTION...3 GETTING STARTED...4 REQUIRED SOFTWARE AND HARDWARE...4 SETTING UP THE HARDWARE...4 SETTING UP THE SOFTWARE...5

More information

Instructions for Installing and Using the FOCUS DL-15 Data Transfer Software

Instructions for Installing and Using the FOCUS DL-15 Data Transfer Software 27 March 2015 Instructions for Installing and Using the FOCUS DL-15 Data Transfer Software Introduction This guide will walk you through the process of transferring data from the FOCUS DL-15 to the computer

More information

www.nuvox.net, enter the administrator user name and password for that domain.

www.nuvox.net, enter the administrator user name and password for that domain. Page 1 of 7 Cute_FTP Server Names and Authentication Before connecting to an FTP site you need three pieces of information: the server name or the site you are connecting to and a user name and password.

More information

MAX6683 Evaluation System/Evaluation Kit

MAX6683 Evaluation System/Evaluation Kit 19-2343; Rev 1; 3/07 MAX6683 Evaluation System/Evaluation Kit General Description The MAX6683 evaluation system (EV system) consists of a MAX6683 evaluation kit (EV kit) and a companion Maxim CMODUSB board.

More information

Windows XP.. ELITE Firmware Update procedures.. v1.41

Windows XP.. ELITE Firmware Update procedures.. v1.41 Windows XP.. ELITE Firmware Update procedures.. v1.41 Download and Extract the ELITE update. Note.. Please ensure you are logged in to Windows as an Administrator before carrying out the instructions in

More information

PCB Design with Altium: Schematic Entry, Libraries, and Designing Components

PCB Design with Altium: Schematic Entry, Libraries, and Designing Components PCB Design with Altium: Schematic Entry, Libraries, and Designing Components Alex Fosdick Capstone Senior Design Instructor: Tom Brown Edited: Jan 30th 2011 Description: This document is the first of two

More information

ScanShell.Net Install Guide

ScanShell.Net Install Guide ScanShell.Net Install Guide Please install the software first - DO NOT PLUG IN THE SCANNER The scanner has been carefully packaged to avoid damage during transportation. Before operating the scanner, please

More information

Ping Pong Game with Touch-screen. March 2012

Ping Pong Game with Touch-screen. March 2012 Ping Pong Game with Touch-screen March 2012 xz2266 Xiang Zhou hz2256 Hao Zheng rz2228 Ran Zheng yc2704 Younggyun Cho Abstract: This project is conducted using the Altera DE2 development board. We are aiming

More information

POINTS POSITION INDICATOR PPI4

POINTS POSITION INDICATOR PPI4 POINTS POSITION INDICATOR PPI4 Advanced PPI with Adjustable Brightness & Simplified Wiring Monitors the brief positive operating voltage across points motors when they are switched Lights a corresponding

More information

MIDECO 64-outputs MIDI note decoder USER MANUAL. Roman Sowa 2012

MIDECO 64-outputs MIDI note decoder USER MANUAL. Roman Sowa 2012 MIDECO 64-outputs MIDI note decoder USER MANUAL Roman Sowa 2012 www.midi-hardware.com 1.Overview Thank you for choosing MIDECO as your new MIDI-to-digital converter. This short manual will guide you through

More information

PCB Project (*.PrjPcb)

PCB Project (*.PrjPcb) Project Essentials Summary The basis of every design captured in Altium Designer is the project. This application note outlines the different kinds of projects, techniques for working on projects and how

More information

Creating a Database in Access

Creating a Database in Access Creating a Database in Access Microsoft Access is a database application. A database is collection of records and files organized for a particular purpose. For example, you could use a database to store

More information

Speedlink software will run on Windows NT, Windows 7, and Windows 8; it will run on both 32 byte and 64 byte versions of Windows.

Speedlink software will run on Windows NT, Windows 7, and Windows 8; it will run on both 32 byte and 64 byte versions of Windows. Guide to the Speedlink software and drivers. Status Instruments has a range of process control equipment that can be configured using the Speedlink software. Some equipment will connect directly to a Windows

More information

Designing VM2 Application Boards

Designing VM2 Application Boards Designing VM2 Application Boards This document lists some things to consider when designing a custom application board for the VM2 embedded controller. It is intended to complement the VM2 Datasheet. A

More information

Designing a Schematic and Layout in PCB Artist

Designing a Schematic and Layout in PCB Artist Designing a Schematic and Layout in PCB Artist Application Note Max Cooper March 28 th, 2014 ECE 480 Abstract PCB Artist is a free software package that allows users to design and layout a printed circuit

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Using the Agilent 3070 Tester for In-System Programming in Altera CPLDs

Using the Agilent 3070 Tester for In-System Programming in Altera CPLDs Using the Agilent 3070 Tester for In-System Programming in Altera CPLDs AN-628-1.0 Application Note This application note describes how to use the Agilent 3070 test system to achieve faster programming

More information

Procedure for updating Firmware of EZ4 W or ICC50 W

Procedure for updating Firmware of EZ4 W or ICC50 W Procedure for updating Firmware of EZ4 W or ICC50 W 1. Download the Firmware file for your camera to your PC 2. Download the Leica Camera Configuration program to your PC 3. Install Leica Camera Configuration

More information

After opening the Programs> Xilinx ISE 8.1i > Project Navigator, you will come to this screen as start-up.

After opening the Programs> Xilinx ISE 8.1i > Project Navigator, you will come to this screen as start-up. After opening the Programs> Xilinx ISE 8.1i > Project Navigator, you will come to this screen as start-up. Start with a new project. Enter a project name and be sure to select Schematic as the Top-Level

More information

Introduction to LogixPro - Lab

Introduction to LogixPro - Lab Programmable Logic and Automation Controllers Industrial Control Systems I Introduction to LogixPro - Lab Purpose This is a self-paced lab that will introduce the student to the LogixPro PLC Simulator

More information

Guide for Remote Control PDA

Guide for Remote Control PDA 030.0051.01.0 Guide for Remote Control PDA For Use with Bluetooth and a PC Running Windows 7 Table of Contents A. Required Parts... 3 B. PC Software Installation... 3 C. Configure PC Software... 4 D. Testing

More information

Pro Surveillance System 4.0. Quick Start Reference Guide

Pro Surveillance System 4.0. Quick Start Reference Guide Pro Surveillance System 4.0 Quick Start Reference Guide 1 Table of Contents 1) Overview 3 2) Initial Setup Adding a Connection..4 3) Viewing Live Cameras...6 4) Single or Multi Channel Playback..8 5) Predetermined

More information

FLASH PROCEDURE for GSM BENTEL and DSC cards

FLASH PROCEDURE for GSM BENTEL and DSC cards FLASH PROCEDURE for GSM BENTEL and DSC cards Following step are intended to properly update the flash memory of the GSM card. The same procedure will guide you to recover a GSM card after a block due to

More information

Configure Inverter output for two utility settings, (1)120V/60Hz, (2)220V/50Hz

Configure Inverter output for two utility settings, (1)120V/60Hz, (2)220V/50Hz HV Solar Inverter System GUI Overview January 2012 TMS320C2000 Systems Applications Collateral The HV Solar Inverter System GUI provides a simple interface to evaluate some of the functionalities of the

More information