A Generic and Compositional Framework for Multicore Response Time Analysis

Size: px
Start display at page:

Download "A Generic and Compositional Framework for Multicore Response Time Analysis"

Transcription

1 A Generc and Compostonal Framework for Multcore Response Tme Analyss Sebastan Altmeyer Unversty of Luxembourg Unversty of Amsterdam Clare Maza Grenoble INP Vermag Robert I. Davs Unversty of York INRIA, Pars-Rocquencourt Vncent Nels CISTER, ISEP, Porto Leandro Indrusak Unversty of York Jan Reneke Saarland Unversty ABSTRACT In ths paper, we ntroduce a Multcore Response Tme Analyss (MRTA) framework. Ths framework s extensble to dfferent multcore archtectures, wth varous types and arrangements of local memory, and dfferent arbtraton polces for the common nterconnects. We nstantate the framework for sngle level local data and nstructon memores (cache or scratchpads), for a varety of memory bus arbtraton polces, ncludng: Round-Robn, FIFO, Fxed-Prorty, Processor-Prorty, and TDMA, and account for DRAM refreshes. The MRTA framework provdes a general approach to tmng verfcaton for multcore systems that s parametrc n the hardware confguraton and so can be used at the archtectural desgn stage to compare the guaranteed levels of performance that can be obtaned wth dfferent hardware confguratons. The MRTA framework decouples response tme analyss from a relance on context ndependent WCET values. Instead, the analyss formulates response tmes drectly from the demands on dfferent hardware resources. 1. INTRODUCTION Effectve analyss of the worst-case tmng behavour of systems bult on multcore archtectures s essental f these hgh performance platforms are to be deployed n crtcal real-tme embedded systems used n the automotve and aerospace ndustres. We dentfy four dfferent approaches to solvng the problem of determnng tmng correctness. Wth sngle core systems, a tradtonal two-step approach s typcally used. Ths conssts of tmng analyss whch determnes the context-ndependent worst-case executon tme (WCET) of each task, followed by schedulablty analyss, whch uses task WCETs and nformaton about the processor schedulng polcy to determne f each task can be guaranteed to meet ts deadlne. When local memory (e.g. cache) s present, then ths approach can be augmented by analyss of Cache Related Pre-empton Delays (CRPD) [4], or by parttonng the cache to avod CRPD altogether. Both approaches are effectve and result n tght upper bounds on task response tmes [5]. Wth a multcore system, the stuaton s more complex snce Permsson to make dgtal or hard copes of all or part of ths work for personal or classroom use s granted wthout fee provded that copes are not made or dstrbuted for proft or commercal advantage and that copes bear ths notce and the full ctaton on the frst page. Copyrghts for components of ths work owned by others than the author(s) must be honored. Abstractng wth credt s permtted. To copy otherwse, or republsh, to post on servers or to redstrbute to lsts, requres pror specfc permsson and/or a fee. Request permssons from permssons@acm.org. RTNS 2015, November 04-06, 2015, Llle, France c 2015 Copyrght held by the owner/author(s). Publcaton rghts lcensed to ACM. ISBN /15/11... $15.00 DOI: WCETs are strongly dependent on the amount of cross-core nterference on shared hardware resources such as man memory, L2-caches, and common nterconnects, due to tasks runnng on other cores. The uncertanty and varablty n ths cross-core nterference renders the tradtonal two-step process neffectve for many multcore processors. For example, on the Freescale P4080, the latency of a read operaton vares from 40 to 600 cycles dependng on the total number of cores runnng and the number of competng tasks [32]. Smlarly, a 14 tmes slowdown has been reported [35] due to nterference on the L2-cache for tasks runnng on Intel 2 Quad processors. At the other extreme s a fully ntegrated approach. Ths nvolves consderng the precse nterleavng of nstructons orgnatng from dfferent cores [19]; however, such an approach suffers from potentally nsurmountable problems of combnatoral complexty, due to the prolferaton of dfferent path combnatons, as well as dfferent release tmes and schedules. An alternatve approach s based on temporal solaton [14]. The dea here s to statcally partton the use of shared resources, e.g. space parttonng of cache and DRAM banks, tme parttonng of bus access, so that context-ndependent WCET values can be used and the tradtonal two-step process appled. Ths approach rases a further challenge, how to partton the resources to obtan schedulablty [36]. Technques whch seek to lmt the worst-case cross-core nterference, for example by usng TDMA arbtraton on the memory bus or by lmtng the amount of contenton by suspendng executon on certan cores [32], can have a sgnfcant detrmental effect on performance, effectvely negatng the performance benefts of usng a multcore system altogether. We note that TDMA s rarely f ever used as a bus-arbtraton polcy n real multcore processors, snce t s not work-conservng and so wastes sgnfcant bandwdth. Ths mpacts both worst-case and average-case performance; essental for applcaton areas such as telecommuncatons, whch have a major nfluence on processor desgn. The fnal approach s the one presented n ths paper, based on explct nterference modellng. We explore the premse that due to the strong nterdependences between tmng analyss and schedulablty analyss on multcore systems, they need to be consdered together. In our approach, we omt the noton of WCET per se and nstead drectly target the calculaton of task response tmes. In ths work, we use executon traces to model the behavour of tasks. Traces provde a smple yet expressve way to model task behavour. Note that relyng on executon traces does not pose a fundamental lmtaton to our approach as all requred performance quanttes can also be derved usng statc analyss [28, 17, 1] as wthn the tradtonal context-ndependent tmng analyss; however, traces enable a near-trval statc cache

2 analyss and so allow us to focus on response tme analyss. The man performance metrcs are the processor demand and the memory demand of each task. The latter quantty feeds nto analyss of the arbtraton polcy used by the common nterconnect, enablng us to upper bound the total memory access delays whch may occur durng the response tme of the task. By computng the overall processor demand and memory demand over a relatvely long nterval of tme (.e. the task response tme), as opposed to summng the worst case over many short ntervals (e.g. ndvdual memory accesses), we are able to obtan much tghter response tme bounds. The Multcore Response Tme Analyss framework (MRTA) that we present s extensble to dfferent types and arrangements of local memory, and dfferent arbtraton polces for the common nterconnect. In ths paper, we nstantate the MRTA framework assumng the local memores used for nstructons and data are sngle-level and ether cache, scratchpad, or not present. Further, we assume that the memory bus arbtraton polcy may be TDMA, FIFO, Round-Robn, or Fxed-Prorty (based on task prortes), or Processor-Prorty. We also account for the effects of DRAM refresh [6, 11]. The general approach emboded n the MRTA framework s extensble to more complex, mult-level memory herarches, and other sources of nterference. It provdes a general tmng verfcaton framework that s parametrc n the hardware confguraton (common nterconnect, local memores, number of cores etc.) and so can be used at the archtectural desgn stage to compare the guaranteed levels of performance that can be obtaned wth dfferent hardware confguratons, and also durng the development and ntegraton stages to verfy the tmng behavour of a specfc system. Whle the specfc hardware models and ther mathematcal representatons used n ths paper cannot capture all of the nterference and complexty of actual hardware, they serve as a vald startng pont. They nclude the domnant sources of nterference and represent current archtectures reasonably well. 2. RELATED WORK In 2007, Rosen et al. [37] proposed an mplementaton n whch TDMA slots on the bus are statcally allocated to cores. Ths technque reles on the avalablty of a user-programmable table-drven bus arbter, whch s typcally not avalable n real hardware, and on knowledge at desgn tme, of the characterstcs of the entre workload that executes on each core. Chattopadhyay et al. [15] and Kelter et al. [22] proposed an analyss whch takes nto account a shared bus and nstructon cache, assumng separate buses and memores for both code and data (uncommon n real hardware) and TDMA bus arbtraton. The method has a lmted applcablty as t does not address data accesses to memory. In 2010, Schranzhofer et al. [39] developed a framework for analysng the worst-case response tme of real-tme tasks on a mult core wth TDMA arbtraton. Ths was followed by work on resource adaptve arbters [40]. They proposed a task model n whch tasks consst of sequences of super-blocks, themselves dvded nto phases that represent mplct communcaton (fetchng or wrtng of data from/to memory), computaton (processng the data), or both. Contrary to the technque presented here, ther approach requres major program nterventon and compler assstance to prefetch data. Also n 2010, Lv et al. [30] proposed a method to model request patterns and the memory bus usng tmed automata. Ther method handles nstructon accesses only and may suffer from state-space exploson when appled to data accesses. A method employng tmed automata was proposed by Gustavsson et al. [19] n whch the WCET s obtaned by provng specal predcates through model checkng. Ths approach allows for a detaled system modellng but s also prone to the state-space exploson problem. In 2014, Kelter et al. [23] analysed the maxmum bus arbtraton delays for multprocessor systems sharng a TDMA bus and usng both (prvate) L1 and (shared) L2 nstructon and data caches. Pellzzon et al. [34] compute an upper bound on the contenton delay ncurred by perodc tasks, for systems comprsng any number of cores and perpheral buses sharng a sngle man memory. Ther method does not cater for non-perodc tasks and does not apply to systems wth shared caches. In addton t reles on accurate proflng of cache utlzaton, sutable assgnment of the TDMA tme-slots to the tasks super-blocks, and mposes a restrcton on where the tasks can be pre-empted. Schlecker et al. [38] proposed a method that employs a general event-based model to estmate the maxmum load on a shared resource. Ths approach makes very few assumptons about the task model and s thus qute generally applcable. However, t only supports a sngle unspecfed work-conservng bus arbter. Paoler et al. [33] proposed a hardware platform that enforces a constant upper bound on the latency of each access to a shared resource. Ths approach enables the analyss of tasks n solaton snce the nterference on other tasks can be conservatvely accounted for usng ths bound. Smlarly, the PTARM [29] enforces constant latences for all nstructons, ncludng loads and stores. However, both cases represent customzed hardware. Km et al. [24] presented a model to upper bound the memory nterference delay caused by concurrent accesses to a shared DRAM man memory. Ther work dffers from ths paper n that they do not assume a unque shared bus to access the man memory and they prmarly focus on the contenton at the DRAM controller by assumng a fully parttoned prvate and shared cache model. (For shared caches they assume that the extra number of requests generated due to cache lne evctons at runtme s gven). Yun et al. [42] proposed a software-based memory throttlng mechansm to explctly lmt the memory request rate of each core and thereby control the memory nterference. They also developed analytcal solutons to compute proper throttlng parameters that satsfy schedulablty of crtcal tasks whle mnmsng the performance mpact of throttlng. In 2015, Dasar et al. [16] proposed a general framework to compute the maxmum nterference caused by the shared memory bus and ts mpact on the executon tme of the tasks runnng on the cores. The method n [16] s more complex than that proposed n ths paper, and may be more accurate when t estmates the delay due to the shared bus, but t does not take cache-related effects nto account (by assumng parttoned caches), whch makes t less generc than the framework proposed here. Regardng shared caches, Yan and Zhang [41] addressed the problem of computng the WCET of tasks assumng drect-mapped, shared L2 nstructon caches on multcores. The applcablty of the approach s unfortunately lmted as t makes very restrctve assumptons such as (1) data caches are perfect,.e. all accesses are hts, and (2) data references from dfferent threads wll not nterfere wth each other n the shared L2 cache. L et al. [27] proposed a method to estmate the worst-case response tme of concurrent programs runnng on multcores wth shared L2 caches, assumng set-assocatve nstructon caches usng the LRU replacement polcy. Ther work was later extended [15] by addng a TDMA bus analyss technque to bound the memory access delay. 3. SYSTEM MODEL In ths paper, we provde a theoretcal framework that can be nstantated for a range of dfferent multcore archtectures wth dfferent types of memory herarchy and dfferent arbtraton polces for the common nterconnect. Our am s to create a flexble, adaptable, and generc analyss framework wheren a large number of common multcore archtecture desgns can be modeled and analysed. In ths paper nevtably we can only cover

3 a lmted number of types of local memory, bus, and global memory behavour. We select common approaches to model the dfferent hardware components and ntegrate them nto an extensble framework. 3.1 Multcore Archtectural Model We model a generc multcore platform wth l tmng-compostonal cores P 1,... P l as depcted n Fgure 1. By tmng-compostonal cores we mean cores where t s safe to separately account for delays from dfferent sources, such as computaton on a gven core and nterference on a shared bus [20]. The set of cores s defned as P. Each core has a local memory whch s connected va a shared bus to a global memory and IO nterface. We assume constant delays d man to retreve data from global memory under the assumpton of an mmedate bus access,.e., no wat-cycles or contenton on the bus. We assume atomc bus transactons,.e., no splt transactons, whch furthermore are not re-ordered, and non-preemptable busy watng on the processor for requests to be servced. Further, we assume that bus access may be gven to cores for one access at a tme. The types of the memores and the bus polcy are parameters that can be nstantated to model dfferent multcore systems. In ths paper, we omt a consderaton of delays due to cache coherence and synchronzaton, and we assume wrte-through caches only. Wrte-back caches are dscussed n techncal report [2]. IO/ global memory Fgure 1: Multcore Platform. A set of l processors wth local memores connected va a common bus to a global memory. 3.2 Task Model We assume a set of n sporadc tasks {τ 1,..., τ n }, each task τ has a mnmum perod or nter-arrval tme T and a deadlne D. Deadlnes are assumed to be constraned, hence D T. We assume that the tasks are statcally parttoned to the set of l dentcal cores {P 1,..., P l }, and scheduled on each core usng fxed-prorty pre-emptve schedulng. The set of tasks assgned to core P x s denoted by Γ x. The ndex of each task s unque and thus provdes a global prorty order, wth τ 1 havng the hghest prorty and τ n the lowest. The global prorty of each task translates to a local prorty order on each core whch s used for schedulng purposes. We use hp() (lp()) to denote the set of tasks wth hgher (lower) prorty than that of task τ, and we use hep() (lep()) to denote the set of tasks wth hgher or equal (lower or equal) prorty to task τ. We ntally assume that the tasks are ndependent, n so far as they do not share mutually exclusve software resources (dscussed n the techncal report [2]); nevertheless, the tasks compete for hardware resources such as the processor, memory, and the bus. The executon of task τ s modelled usng a set of traces O, where each trace o = [ι 1,... ι k ] s an ordered lst of nstructons. For ease of notaton, we treat the ordered lst of nstructons as a mult-set, whenever we can abstract away from the specfc order. We dstngush three types of nstructons t: r[m da ] t = w[m da ] e read data from memory block m da wrte data to memory block m da execute (1) An nstructon ι s a trple consstng of the nstructon s memory address m n, ts executon tme wthout memory delays,.e., assumng a perfect local memory, and the nstructon type t: ι = (m n,, t) (2) The set of memory blocks s defned as M. M da denotes the data memory blocks, M n the nstructon memory blocks. We assume that data and nstructon memory are dsjont,.e, M n M da =. The use of traces to model a task s behavour s unusual as the number of traces s exponental n the number of control-flow branches. Despte ths obvous drawback, traces provde a smple yet expressve way to model task behavour. They enable a near-trval statc cache analyss and a smple multcore smulaton to evaluate the accuracy of the tmng verfcaton framework. However, most mportantly, traces show that the worst-case executon behavour of a task τ on a multcore system s not unquely defned. From the vewpont of a task scheduled on the same core, τ may have the hghest mpact when t uses the core for the longest possble tme nterval, whereas the mpact on tasks scheduled on any other core may be maxmzed when τ produces the largest number of bus accesses. These two cases may well correspond to dfferent executon traces. As a remedy for the exponental number of traces, the complexty can be reduced by () computng a synthetc worst-case trace or () by dervng the set of Pareto optmal traces that maxmze the task s mpact accordng to a pre-defned cost functon (see [28]). We can also completely resort to statc analyss to derve upper bounds on the performance metrcs. Statc analyses provde ndependent upper bounds on the dfferent performance quanttes. Ths strongly reduces the computatonal complexty, but may lead to pessmsm. An evaluaton of ths trade-off s future work. 4. MEMORY MODELLING In ths secton we show how the effects of a local memory can be modelled va a MEM functon whch descrbes the number of accesses due to a task whch are passed to the next level of the memory herarchy, n ths case man memory. The MEM functon s nstantated for both cache and scratchpads. We model the effect of a (local) memory usng a functon of the form: MEM: O N 2 2N 2 N (3) where MEM(o) = (MD o, UCB o, ECB o ) computes, for a trace o, the number of bus accesses.e., the number of memory accesses whch cannot be served by the local memory alone (denoted as memory demand MD), UCB o whch denotes a multset contanng, for each program pont n trace o, the set of Useful Cache Blocks (UCBs) [25], whch may need to be reloaded when trace o s pre-empted at that program pont, and the set of Evctng Cache Blocks (ECBs) whch s the set of all cache blocks accessed by trace o whch may evct memory blocks of other tasks. The value MD does not just cover cache msses, but also has to account for wrte accesses. In the case of wrte-through caches, each wrte access wll cause a bus access, rrespectve of whether or not the memory block s present n cache. The number of bus accesses MD assumes non-preemptve executon. Wth pre-emptve executon and caches, more than MD memory accesses can contrbute to the bus contenton due to cache evcton. In ths paper, we make use of the CRPD analyss for fxed-prorty pre-emptve schedulng ntroduced n [4]. We now derve nstantatons of the functon MEM(o) for a trace o = [ι 1,..., ι k ] for nstructon memores and data memores for systems () wthout cache, () wth scratchpads, and () wth drect-mapped or LRU caches. In the followng, the superscrpts ndcate data (da) or nstructon memory (n), the subscrpts the type of memory,.e., uncached (nc), scratchpad (sp), or caches (ca).

4 4.1 Uncached Consderng nstructon memory, the number of bus accesses for a system wth no cache s gven by the number of nstructons k n the trace. The set of UCBs and ECBs are empty. Pre-empton has no effect on the local memory, snce none exsts. MEM n nc(o) = (k,, ) (4) Consderng data memory, we have to account for the number of data accesses, rrespectve of read or wrte access. The number of accesses s thus equal to the number of data access nstructons. ( MEM da { nc(o) = ι ι o ι = (_, _, r/w[m da ]) },, ) (5) 4.2 Scratchpads A scratchpad memory s defned usng a functon SPM: M {true, f alse}, whch returns true for memory blocks that are stored n the scratchpad. For ease of presentaton, we assume a statc a wrte-through scratchpad confguraton, whch does not change at runtme. An extenson to dynamc scratchpads and the wrte-back polcy s straght-forward, but beyond the scope of ths paper. Each memory access to a memory block whch s not stored n the scratchpad causes an addtonal bus access. ( MEM n { sp(o) = m n (m n, _, _) o SPM(m n ) },, ) Further, n the case of wrte accesses, even f a memory block s stored n the scratchpad, that access also contrbutes to the bus contenton as we assume a wrte-through polcy. MEM da sp(o) = ( { m da ( (_, _, r(m da )) o SPM(m da ) ) (_, _, w(m da )) o } ),, The sets of UCBs and ECBs are empty as no pre-empton overhead s assumed wth statc scratchpad memory. Dynamc scratchpad management s dscussed n the techncal report [2]. 4.3 Caches We assume a functon Ht: I M {true, f alse}, whch classfes each memory access at each nstructon as a cache ht or a cache mss. Ths functon can be derved usng cache smulaton of the trace startng wth an empty cache or by usng tradtonal cache analyss [17], where each unclassfed memory access s consdered a cache mss. Ths means that we upper bound the number of cache msses. For each possble pre-empton pont ι on trace o, the set of UCBs s derved usng the correspondng analyss descrbed n Altmeyer s thess [1], Chapter 5, Secton 4. It s suffcent to only store the cache sets a useful memory blocks maps to, nstead of the useful memory blocks. The multset UCB o then contans, for each program pont ι n trace o, the set of UCBs at that program pont,.e, UCB o = ι o UCB ι. The set of ECBs s the set of all cache sets of memory blocks on trace o. MEM n ca(o) = ( { m n ι = (m n, _, _) o Ht(m n, ι ) } ), UCB n o, ECB n o Snce we assume a wrte-through polcy, wrte accesses contrbute to the cache contenton and have to be treated accordngly. ( MEM da { ca(o) = m da ( ι = (_, _, r(m da ) ) o Ht(m da, ι ) ) (_, _, w(m da )) o } ), UCB da o, ECB da o (9) (6) (7) (8) 4.4 Memory Combnatons To allow dfferent combnatons of local memores, for example scratchpad memory for nstructons and an LRU cache for data, we defne the combnaton of nstructon memory MEM n and data memory MEM da as follows MEM(o) = ( MD n o + MD da o, UCB n o UCB da ) o, ECB n o ECB da o (10) ( wth MEM n (o) = MD n o, UCB n ) o, ECB n o beng the result for the ( nstructon memory and MEM da (o) = MD da o, UCB da ) o, ECB da o for the data memory. 5. BUS MODELLING In ths secton we show how the memory bus delays experenced by a task can be modelled va a BUS functon of the form: BUS: N P N N (11) where BUS(, x, t) determnes an upper bound on the number of bus accesses that can delay task τ on processor P x durng a tme nterval of length t. Ths abstracton covers a varety of bus arbtraton polces, ncludng Round-Robn, FIFO, Fxed-Prorty, and Processor-Prorty, all of whch are work-conservng, and also TDMA whch s not work-conservng. We now ntroduce the mathematcal representatons of the delays ncurred under these arbtraton polces. We note that the framework s extensble to a wde varety of dfferent polces. The only constrants we place on nstantatons of the BUS(, x, t) functon s that they are monotoncally non-decreasng n t. Let τ be the task of nterest, and x the ndex of the processor P x on whch t executes. Other task ndces are represented by j, k etc., whle y, z are used for processor ndces. Let S x (t) denote an upper bound on the total number of bus accesses due to τ and all hgher prorty tasks that run on processor P x durng an nterval of length t. Let A y j (t) be an upper bound on the total number of bus accesses due to all tasks of prorty j or hgher executng on some processor P y P x durng an nterval of length t. (Note, j may not necessarly be the prorty of a task allocated to processor P y ). As memory bus requests are typcally non-preemptve, one lower prorty 1 memory request may block a hgher prorty one, snce the global, shared memory may have just receved a lower prorty request before the hgher prorty one arrves. To account for these blockng accesses, we use L y j (t) whch denotes an upper bound on the total number of bus accesses due to all tasks of prorty lower than j executng on some other processor P y P x durng an nterval of length t. In Secton 6 we show how the values of S x(t), Ay j (t) and Ly j (t) are computed and explan why S x (t) and A y j (t) are subtly dfferent and hence requre dstnct notaton. In the followng equatons for the BUS(, x, t) functon, we account for blockng due to one non-preemptve access from lower prorty tasks runnng on the same core P x as task τ (.e. +1 n the equatons). Ths holds because such blockng can only occur at the start of the the prorty level- (processor) busy perod. For a Fxed-Prorty bus wth memory accesses nhertng the prorty of the task that generates them, we have: BUS(, x, t) = S x (t) + A y (t) + mn S x (t), L y (t) + 1 (12) y x 1 Here we mean prortes on the bus, whch are not necessarly the same as task prortes. y x

5 The term mn ( S x(t), y x L y (t)) upper bounds the blockng due to tasks of lower prorty than τ runnng on other cores. For a Processor-Prorty bus wth memory accesses nhertng the prorty of the core rather than the task, we have: BUS(, x, t) = S x (t)+ A y n(t)+mn S x (t), A y n(t) +1 (13) y HP(x) y LP(x) where HP(x) (LP(x)) s the set of processors wth hgher (lower) prorty than that of P x, and n s the ndex of the task wth the lowest prorty. The term A y n(t) thus captures the nterference of all tasks runnng on processor y, ndependent of ther prorty, and the term mn ( S x(t), y x A y n(t) ) upper bounds the blockng due to tasks runnng on processors wth prorty lower than that of P x. For a FIFO bus, we assume that all accesses generated on the other processors may be servced ahead of the last access of τ, hence we have: BUS(, x, t) = S x (t) + A y n(t) + 1 (14) y x Note accesses from other cores do not contrbute blockng snce we already pessmstcally account for all these accesses n the summaton term. For a Round-Robn bus wth a cycle consstng of an equal number of slots v per processor, we have: BUS(, x, t) = S x (t) + mn(a y n(t), v S x (t)) + 1 (15) y x The worst-case stuaton occurs when each access n S x (t) s delayed by each core P y P x for v slots. Interference by core P y s lmted to the number of accesses from core P y. Agan, as we already account for all accesses from all other cores, there s no separate contrbuton to blockng. Note unlke TDMA, Round-Robn moves to the next slot mmedately f a processor has no access pendng. For a TDMA bus wth v adjacent slots per core n a cycle of length l v, we have: BUS(, x, t) = S x (t) + ((l 1) v) S x (t) + 1 (16) Snce TDMA s not work-conservng, the worst case corresponds to each access n S x(t) just mssng a slot for processor P x and hence havng to wat at most ((l 1) v+1) slots to be servced. Effectvely, there s addtonal nterference from the (l 1) v slots reserved for other processors on each access, rrespectve of whether these slots are used or not. As all accesses due to hgher prorty tasks on P x may be servced pror to the last access of task τ we requre S x(t) accesses n total to be servced for P x. Note that when v = 1, Equaton (16) smplfes to BUS(, x, t) = l S x (t) + 1. It s nterestng to note that whle TDMA provdes more predctable behavour, ths s at a cost of sgnfcantly worse guaranteed performance over long tme ntervals (e.g. the response tme of a task) due to the fact that t s not work-conservng. Effectvely, ths means that the memory accesses of a task may suffer addtonal nterference due to empty slots on the bus. Nevertheless, Round-Robn behaves lke TDMA when all other cores create a large number of competng memory accesses. We note that the equal number of slots per core for Round-Robn and TDMA, and the groupng of slots per core are smplfyng assumptons to exemplfy how TDMA and Round-Robn buses can be analysed. An analyss for more complex confguratons s reserved for future work. 6. RESPONSE TIME ANALYSIS In ths secton, we present the centre pont of our tmng verfcaton framework: nterference-aware Multcore Response Tme Analyss (MRTA). Ths analyss ntegrates the processor and memory demands of the task of nterest and hgher prorty tasks runnng on the same processor, ncludng CRPD. It also accounts for the cross-core nterference on the memory bus due to tasks runnng on the other processors. A task set s deemed schedulable, f for each task τ, the response tme R s less than or equal to ts deadlne D : : R D schedulable The tradtonal response tme calculaton [7] [21] for fxed-prorty pre-emptve schedulng on a unprocessor s based on an upper bound on the WCET of each task τ, denoted by C. By contrast, our MRTA framework dssects the ndvdual components (processor and memory demands) that contrbute to the WCET bound and re-assembles them at the level of the worst-case response tme. It thus avods the over-approxmaton nherent n usng context-ndependent WCET bounds. In the followng, we assume that τ s the task of nterest whose schedulablty we are checkng, and P x s the processor on whch t runs. Recall that there s a unque global orderng of task prortes even though the schedulng s parttoned wth a fxed-prorty preemptve scheduler on each processor. 6.1 Interference on the We compute the maxmal processor demand PD for each task τ as follows: PD = max o O (_,,_) o (17) where s the executon tme of an nstructon wthout memory delays. Task τ suffers nterference I PROC (, x, t) on ts core P x due to tasks of hgher prorty runnng on the same core wthn a tme nterval of length t startng from the crtcal nstant: t I PROC (, x, t) = PD j (18) j Γ x j hp() 6.2 Interference on the local memory Local memory mproves a task s executon tme by reducng the number of accesses to man memory The memory demand of a trace gves the number of accesses that go to man memory and hence the bus, despte the presence of the local memory. The maxmal memory demand MD of a task τ s defned by the maxmum number of bus accesses of any of ts traces: T j { MD = max MD } MEM(o) = (MD, _, _) o O (19) Note that the maxmal memory demand refers to the demand of the combned nstructon and data memory as defned n Equaton (10). The memory demand MD s derved assumng non-preemptve executon,.e. that the task runs to completon wthout nterference on the local memory. The sets of UCBs and ECBs are used to compute the addtonal overhead due to pre-empton. In the computaton of ths overhead, we use the sets of UCBs per trace o to preserve precson, UCB o = UCB wth MEM(o) = (_, UCB, _) (20) and derve the maxmal set of ECBs per task τ as the unon of the ECBs on all traces. ECB = {ECB } MEM(o) = (_, _, ECB) (21) o O We use γ, j,x (wth j hp()) to denote the overhead (addtonal accesses) due to a pre-empton of task τ by task τ j on core P x. We use the ECB-Unon [3] approach as an exemplar of CRPD

6 analyss, as t provdes a reasonably precse bound on the pre-empton overhead wth low complexty. Other technques [4] [26] could also be ntegrated nto ths framework, but we omt the explanaton due to space constrants. The ECB-Unon approach consders the UCBs of the pre-empted task per pre-empton pont and assumes that the pre-emptng task τ j has tself already been pre-empted by all tasks wth hgher prorty on the same processor P x. Ths nested pre-empton of the pre-emptng task s represented by the unon of the ECBs of all tasks wth hgher or equal prorty than task τ j (see [4] for a detaled descrpton). γ, j,x = max k hep() lp( j) k Γ x max o O k max UCB ι UCB o UCB ι ECB h h hep( j) h Γ x (22) 6.3 Interference on the Bus We now compute the number of accesses that compete for the bus durng a tme nterval of length t, equatng to the worst-case response tme of the task of nterest τ. We use S x (t) to denote an upper bound on the total number of bus accesses that can occur due to tasks runnng on processor P x durng that tme. Snce lower prorty tasks cannot execute on P x durng the response tme of task τ (a prorty level- processor busy perod), the only contrbuton from those tasks s a sngle blockng access as dscussed n Secton 5. The maxmum delay s computed assumng task τ s released smultaneously wth all hgher prorty tasks that run on P x, and subsequent releases of those tasks occur as soon as possble, whle also assumng that the maxmum possble number of preemptons occur. S x (t) = k Γ x k hep() t T k (MDk ) + γ,k,x (23) MD k denotes the memory demand of task τ k and γ,k,x accounts for the pre-empton costs on core P x due to jobs of task τ k. We use A y j (t) to denote an upper bound on the total number of bus accesses due to all tasks of prorty j or hgher executng on processor P y P x durng an nterval of length t. A specal case s A y n(t): snce τ n s the lowest prorty task, ths term ncludes accesses due to all tasks runnng on processor P y. In contrast to the dervaton of S x(t), for Ay n(t) we can make no assumptons about the synchronsaton or otherwse of tasks on processor P y wth respect to the release of task τ on processor P x. The value of A y j (t) s therefore obtaned by assumng for each task, that the frst job executes as late as possble,.e. just pror to ts worst-case response tme, whle the next and subsequent jobs execute as early as possble. We assume that the frst nterferng job of a task τ k has all of ts memory accesses as late as possble durng ts executon, whle for subsequent jobs the opposte s true, wth executon and memory accesses occurrng as early as possble after release of the job. Ths treatment s smlar to the concept of carry-n nterference used n the analyss of global multprocessor fxed-prorty schedulng [10], and s llustrated n Fgure 2. R k Memory accesses Executon t Fgure 2: Illustraton of the carry-n nterference analyss. The number of complete jobs of task τ k contrbutng accesses n an nterval of length t on processor y s gven by: t + N y j,k (t) = Rk (MD k + γ j,k,y ) d man (24) T k T k Note the term (MD k + γ j,k,y ) d man represents the tme for the memory accesses. Hence the total number of accesses possble n an nterval of length t due to task τ k and ts cache related preempton effects s gven by: W y j,k (t) = Ny j,k (t) (MD k + γ j,k,y )+ mn ( t + R k (MD k + γ j,k,y ) d man N y j,k MD k + γ j,k,y, (t) T k ) d man (25) Hence we have: A y j (t) = k Γ y k hep( j) W y j,k (t) (26) The value of L y j (t) s obtaned n a smlar way to Ay j, but consderng accesses wth lower prorty than j: L y j (t) = k Γ y k lp( j) W y n,k (t) (27) We note that the carry-n nterference has not been accounted for n [24] Equaton (5) and (6), resultng n potentally optmstc bounds on the number of competng memory requests n [24]. The number of accesses on the cores are used as nput to the BUS functon (see Secton 5), whch we use to derve the maxmum bus delay that task τ on processor P x can experence durng a tme nterval of length t, I BUS (, x, t) = BUS(, x, t) d man (28) where d man s the bus access latency to the global memory. 6.4 Global Memory So far we have assumed a global memory wth a constant access latency d man. Global memory s usually realzed based on dynamc random-access memory (DRAM), whch needs to be refreshed perodcally. Now, we show how to relax the constant-latency assumpton to take nto account delays mposed by refreshes. We assume a DRAM controller wth a Frst Come Frst Served (FCFS) schedulng polcy so that memory accesses cannot be reordered wthn the controller. Further, we assume a closed-page polcy to mnmze the effect of the memory access hstory on access latences. We consder two refresh strateges [31]: dstrbuted refresh where the controller refreshes each row at a dfferent tme, at regular ntervals, and burst refresh where all rows are refreshed mmedately one after another. Under burst refresh, an upper bound on the maxmum number of refreshes wthn an nterval of length t n whch m memory accesses occur s gven by: t DRAM burst (t, m) = #rows (29) T refresh where #rows s the number of rows n the DRAM module, and T refresh s the nterval at whch each row needs to be refreshed. T refresh s usually 64 ms for DDR2 and DDR3 modules. Under dstrbuted refresh, the upper bound s: ( ) t #rows DRAM dst (t, m) = mn m, (30) T refresh Ths s the case, snce at most one memory access can be delayed by each of the refreshes, whereas under burst refresh, a sngle memory access can be delayed by #rows many refreshes. As the number of memory accesses wthn t s equal to the number of BUS accesses, we can bound the nterference due to DRAM refreshes of task τ on core P x as follows: I DRAM (, x, t) = DRAM(t, BUS((, x, t)) d refresh (31) where d refresh s the refresh latency.

7 6.5 Multcore Response Tme Analyss The response tme R of task τ s gven by the smallest soluton to the followng recurrence relaton: R = PD + I PROC (, x, R ) + I BUS (, x, R ) + I DRAM (, x, R ) (32) where I PROC (, x, R ) s the nterference due to processor demand from hgher prorty tasks runnng on the same processor assumng no msses on the local memory (see Equaton (18)), I BUS (, x, R ) s the delay due to bus accesses from tasks runnng on all cores ncludng MD (see Equaton (28)), and I DRAM (, x, R ) s the delay due to DRAM refreshes (see Equaton (31)). Snce the response tme of each task can depend on the response tmes of other tasks va the functons (26) and (27) descrbng memory accesses A y j (t) and Ly j (t), we use an outer loop around a set of fxed-pont teratons to compute the response tmes of all the tasks, and deal wth an apparent crcular dependency. Iteraton starts wth : R = PD + MD d man and ends when all the response tmes have converged (.e. no response tme changes w.r.t. the prevous teraton), or the response tme of a task exceeds ts deadlne n whch case that task s unschedulable. See Algorthm 1 Response Tme Computaton 1: functon MultRTA 2: : R 0 = 0 3: : R 1 = PD + MD d man 4: l = 1 5: whle : R l Rl 1 : R l D do 6: for all do 7: R l,0 = R l 1 8: R l,1 = R l 9: k = 1 10: whle : R l,k R l,k 1 R l,k D do 11: R l,k+1 = PD + I PROC (, x, R l,k ) 12: +I BUS (, x, R l,k ) + I DRAM (, x, R l,k 13: k = k : end whle 15: end for 16: R l+1 = R l,k 17: l = l : end whle 19: f : R l D then return schedulable 20: else return not schedulable 21: end f 22: end functon Algorthm 1 for a pseudo-code algorthm of the response tme calculaton. Snce the response tme R of a task τ s monotoncally ncreasng w.r.t. ncreases n the response tme of any other task, convergence or exceedng a deadlne s guaranteed n a bounded number of teratons. We note that the analyss s sustanable [8] wth respect to the processor PD j and memory demands MD j of each task, snce values that are smaller than the upper bounds used n the analyss cannot result n a larger response tme. Ths sustanablty extends to traces; f any trace of task executon results n practce n a lower processor or memory demand than that consdered by the analyss, then ths also cannot result n an ncrease n the response tme. Smlarly, a decrease n the set of UCBs or ECBs such that they are a subset of those consdered by the analyss cannot ncrease the worst-case response tme. Note that the defntons of MD, PD and ECB completely decouple the traces from the response tme analyss. Ths comes at the cost of possble pessmsm, but strongly reduces the complexty of the analyss. Dfferent traces may maxmze dfferent parameters, meanng that the combnaton of the ) parameters n ths way may represent a synthetc worst-case that cannot occur n practce. An alternatve soluton s to defne a multcore response tme analyss that s parametrc n the executon traces. In the extreme, completely expandng the analyss to explore every combnaton of traces from dfferent tasks would be ntractable. However, as a frst step n ths drecton, response tmes could be computed for each ndvdual trace of the task of nterest τ, usng combned traces for all other tasks. The maxmum such response tme would then provde an mproved upper bound. 6.6 Extensons Above, we nstantated the Multcore Response Tme Analyss (MRTA) framework for relatvely smple task and multcore archtectural models. In the techncal report [2], we brefly dscuss extensons ncludng: RTOS and nterrupts, dynamc scratchpad management, sharng software resources, open systems and ncremental verfcaton, wrte-back cache polces and mult-level caches. The presented analyss framework s not fne-tuned to specfc hardware features or executon scenaros such as burst accesses, snce ths counteracts ts extensblty and generalty. 7. EXPERIMENTAL EVALUATION In ths secton we descrbe the results of an expermental evaluaton usng the MRTA framework 2. For the evaluaton, we use the Mälardalen benchmark sute [18] to provde traces. We model a multcore systems based on an ARM Cortex A5 multcore 3 as a reference archtecture to provde a cache confguraton and memory and bus latences. As ths work s ntended to provde an overvew of our generc and extensble framework, we do not model all detals of the specfc multcore archtecture. A case study comparng measurements on a real hardware wth the computed bounds s future work. ARMv7 ICache ICache DCache DCache ARMv7 ARMv7 ICache ICache DCache DCache ARMv7 IO/ global memory Fgure 3: Multcore Archtecture Case Study: m = 4 cores wth local caches connected va a common bus to a global memory. The reference archtecture depcted n Fgure 3 s confgured as follows: It has 4 ARMv7 cores connected to the global memory/io over a shared bus assumng a Round-Robn arbtraton polcy and a core frequency of 200MHz. Each core has separate nstructon and data caches, wth 256 cache sets each and a block sze of 32Bytes. The global memory latency d man and the DRAM refresh latency d refresh are both 5 cycles. The DRAM refresh perod T refresh s 64 ms. We assume the DRAM mplements the dstrbuted refresh strategy (see Secton 6.4). We examne dervatves of the reference confguraton assumng the dfferent bus arbtraton polces presented n Secton 5 and a hypothetcal perfect bus whch elmnates all bus nterference f the bus utlzaton s 1. We compare the reference confguraton wth two alternatve archtectures: The frst, referred to as full-solaton archtecture mplements complete spatal and temporal solaton. The local caches are parttoned wth an equal partton sze for each task and the bus uses a TDMA arbtraton 2 The software s avalable on demand. 3

8 polcy. All other parameters reman the same as n the reference archtecture. The performance on the solaton archtecture corresponds to the tradtonal two-step approach to tmng verfcaton wth context-ndependent WCETs. The second alternatve, referred to as uncached archtecture, assumes no local caches except for a buffer of sze 1, and uses Round-Robn bus arbtraton. All other parameters are agan the same as the reference confguraton. The traces for the benchmarks were generated usng the gem5 nstructon set smulator [13] and contan statcally lnked lbrary calls. As the benchmark code corresponds to ndependent tasks, no data s shared between the tasks. Table 1 shows nformaton for a representatve selecton of the 39 benchmark programs used to provde traces ncludng the total number of nstructons (whch s equal to the processor demand), the number of read/wrte operatons, the memory demand, and the maxmum number of UCBs and ECBs on the reference multcore archtecture. Complete nformaton for all benchmarks can be found n Table 1 of the techncal report [2]. Each benchmark s assgned only one trace, whch s suffcent due to the smple structure of the benchmark sute: The benchmarks are ether sngle-path or worst-case nput s provded. Despte the rather smple structure of the benchmarks, the tasks show a strong varaton n processor and memory demand. As all benchmarks exhbt only one trace, the worst-case processor and memory demand concde. Evaluaton of more complex tasks ncludng evaluaton of the trade off between pessmsm of ndependent upper bounds and the computatonal complexty of explct traces remans as future work. We dentfy three man sources of over-approxmaton of our multcore response tme analyss framework: The number of memory accesses on the same core cannot be precsely estmated due to mprecson n the pre-empton cost analyss. The nterference due to bus accesses may be pessmstc as not all tasks runnng on another core can smultaneously access the bus. The DRAM refreshes are assumed too frequently f the number of man memory accesses s over-approxmated. A sophstcated evaluaton of the precson of our analyss requres measurements on a real archtecture, whch we cannot yet provde. However, the dfferent archtecture confguratons provde an estmate of the nfluence of the dfferent sources of pessmsm. The reference archtecture wth a perfect bus elmnates any pessmsm due to bus nterference and DRAM accesses. Only the pessmsm of the pre-empton cost analyss remans, whch has been quantfed n [3]. The full-solaton archtecture removes all pessmsm due to the bus nterference and the pre-empton costs, and thus only suffers from the pessmsm n the DRAM analyss. We evaluated the guaranteed performance of the varous confguratons as computed usng the MRTA framework on a large number of randomly generated task sets. The task set parameters were as follows: The default task set sze was 32, wth 8 tasks per core. Each task was randomly assgned a trace from Table 1. The base WCET per task τ, needed solely to set the task perods and deadlne, was defned as C = PD + MD d man + DRAM(PD + MD d man, MD ) d refresh C denotes the executon tme of the task wthout any nterference from any other task. The task utlzatons were generated usng UUnfast [12] wth an equal utlzaton assumed for each core. Task perods were set based on task utlzaton and base WCET,.e., T = C /U. Task deadlnes were mplct. Prortes were assgned n deadlne monotonc order. We note that the processor utlzaton s often not the lmtng factor Name # Instr. (PD) Read/Wrte MD UCB ECB adpcm_enc bsort compress fdct lms nschneu petrnet statemate Table 1: Benchmark traces on a multcore system, but the memory utlzaton, defned as: U BUS MD d man = (33) T Only f U BUS 1, can the tasks be scheduled. The utlzaton per core was vared from to n steps of For each utlzaton value, 1000 tasksets were generated and the schedulablty was determned for each archtectural confguraton. Fgure 4 shows the number of schedulable task sets plotted aganst the core utlzaton (computed usng the base WCETs) and Fgure 5 aganst the bus utlzaton U BUS. Schedulable Tasksets Utlzaton reference confg - perfect bus reference confg - FP bus reference confg - RR bus reference confg - TDMA bus full-solaton archtecture reference confg - PP bus reference confg - FIFO bus uncached archtecture Fgure 4: Number of schedulable task sets vs. core utlzaton Schedulable Tasksets Bus Utlzaton reference confg - perfect bus reference confg - FP bus reference confg - RR bus reference confg - TDMA bus full-solaton archtecture reference confg - PP bus reference confg - FIFO bus uncached archtecture Fgure 5: Number of schedulable task sets vs. bus utlzaton Most traces from Table 1 have a hgh memory demand, whch results n a hgh number of bus accesses even at low core utlzatons. Consequently, most task sets are not schedulable even wth a perfect bus. The fxed-prorty bus (green lne) where the memory accesses nhert the task prorty shows the best performance, followed by Round-Robn (dark blue lne) and then TDMA (pnk lne). The full-solaton archtecture (lght blue) mplementng TDMA and cache parttonng on the local caches performs nearly as well as the TDMA archtecture, whch ndcates that the ncreased executon tmes due to cache parttonng only have a mnor mpact n ths case. Note for TDMA and Round-Robn, we assume a cycle wth 2 slots per processor. The FIFO bus shows the lowest performance, smlar to that of an uncached archtecture, whch uses Round-Robn. The worst-case arrval pattern for a FIFO bus (black lne) assumes that each potentally co-runnng task has ssued bus requests just

9 weghted measure (core utlzaton) Fgure 6: cycles). weghted measure (core utlzaton) bus latency reference confg - perfect bus reference confg - FP bus reference confg - RR bus reference confg - TDMA bus full-solaton archtecture reference confg - PP bus reference confg - FIFO bus uncached archtecture Weghted schedulablty; varyng bus latency (n number of cores reference confg - perfect bus reference confg - FP bus reference confg - RR bus reference confg - TDMA bus full-solaton archtecture reference confg - PP bus reference confg - FIFO bus uncached archtecture Fgure 7: Weghted schedulablty; varyng number of cores. weghted measure (core utlzaton) refresh latency reference confg - perfect bus reference confg - FP bus reference confg - RR bus reference confg - TDMA bus full-solaton archtecture reference confg - PP bus reference confg - FIFO bus uncached archtecture Fgure 8: Weghted schedulablty; varyng DRAM refresh latency (n cycles). before the release of the task of nterest, whch results n a very pessmstc bus contenton and response tmes. The analyss for the Processor-Prorty bus (dark green lne) only assumes that co-runnng tasks assgned to a processor of hgher prorty have ssued requests, whch explans the mproved performance compared to the FIFO bus. We note that the task set generaton does not optmze the task assgnment wth respect to the Processor-Prorty bus. Such an optmzaton could greatly mprove the relatve performance of ths polcy by assgnng tasks wth shorter deadlnes to a processor wth hgher prorty. The dfference between the Fxed-Prorty and Round-Robn/TDMA shows the MRTA framework s able to guarantee good performance even f the bus polcy does not provde a tghtly bounded bus latency for sngle accesses (as s the case for TDMA and Round-Robn). Fgures 4 and 5 only show the results for dfferent bus polces and three cache confguraton (uncached, parttoned and unconstraned cache usage). In the followng, we examne how other parameters ncludng: the man memory latency the number of cores, and the DRAM refresh latency mpact schedulablty. We use the weghted schedulablty measure [9], to show how schedulablty vares wth these parameters. As the memory demand of the benchmark traces s hgh, the bus latency d man has a tremendous mpact on overall schedulablty (see Fgure 6). The bus latency affects all bus polces smlarly. By ncreasng the number of cores, the number of tasks also ncreases (assumng a fxed number of tasks per core) and so does the bus utlzaton. The performance of all confguratons decreases (see Fgure 7) as fewer task sets are deemed schedulable, rrespectve of the bus polcy. As mght be expected, longer DRAM refresh latences have a sgnfcant detrmental effect on schedulablty for all confguratons, see Fgure CONCLUSIONS In ths paper, we ntroduced a Multcore Response Tme Analyss (MRTA) framework. Ths framework s extensble to dfferent multcore archtectures, wth varous types and arrangements of local memory, and dfferent arbtraton polces for the common nterconnects. In ths ntal paper, we nstantated the MRTA framework assumng sngle level local data and nstructon memores (cache or scratchpads), and for a varety of memory bus arbtraton polces, ncludng: Round-Robn, FIFO, Fxed-Prorty, Processor-Prorty, and TDMA. The MRTA framework provdes a general approach to tmng verfcaton for multcore systems that s parametrc n the hardware confguraton (common nterconnect, local memores, number of cores etc.) and so can be used both at the archtectural desgn stage to compare the guaranteed levels of performance obtaned wth dfferent hardware confguratons, and also durng development to verfy the tmng behavour of a specfc system. The MRTA framework decouples response tme analyss from a relance on context ndependent WCET values. Instead, the analyss formulates response tmes drectly from the demands on dfferent hardware resources. Such a separaton of concerns trades dfferent sources of pessmsm. The smplfcatons used to make the analyss tractable are unable to take advantage of overlaps between processng and memory demands; however, ths compromse s set aganst substantal gans acqured by consderng the worst-case behavour of resources, such as the memory bus, over long duratons equatng to task response tmes, rather than summng the worst case over short duratons such as a sngle accesses, as s the case wth the tradtonal two-step approach usng context-ndependent WCETs. Whle the ntal nstantaton of the MRTA framework gven n ths paper cannot capture every source of nterference or delay exhbted n actual multcore processors, t captures the most sgnfcant effects. Importantly, the framework can be: () extended to ncorporate effects due to other hardware resources, and dfferent schedulng / resource access polces, () refned to provde tghter analyss for those elements nstantated n ths paper, () talored to better model the mplementaton of actual multcore processors. Our evaluaton used the MRTA framework to model and analyse a generc multcore processor based on nformaton about the ARM Cortex A5, wth software from the Mälardalen benchmark sute used as code for the tasks n our case study. Our results show that whle a full-solaton solaton archtecture may be preferable wth the tradtonal two-step approach to tmng verfcaton, the MRTA framework can leverage the substantal performance mprovements that can be obtaned by usng dynamc polces such as the Fxed-Prorty bus arbtraton based on task prortes. The techncal report [2] dscusses a varety of ways n whch the framework can be extended. In future we am to explore these avenues, extendng our work by nstantatng the analyss for more complex behavours and archtectures, as well as to global

10 and sem-parttoned schedulng polces. We also plan to run detaled (cycle accurate) smulatons of the multcore archtectures to examne the effectveness of the MRTA framework compared to observed behavour. Acknowledgements Ths work was supported n part by the COST Acton IC1202 TACLe, by the DFG as part of the Transregonal Collaboratve Research Centre SFB/TR 14 (AVACS), by Natonal Funds through FCT/MEC (Portuguese Foundaton for Scence and Technology) and co-fnanced by ERDF (European Regonal Development Fund) under the PT2020 Partnershp, wthn project UID/CEC/04234/2013 (CISTER Research Centre), by FCT/MEC and the EU ARTEMIS JU wthn project ARTEMIS/0001/ JU grant nr (EMC2), by the INRIA Internatonal Char program, and by the EPSRC project MCC (EP/K011626/1). EPSRC Research Data Management: No new prmary data was created durng ths study. Ths collaboraton was partly due to the Dagstuhl Semnar on Mxed Crtcalty References [1] S. Altmeyer. Analyss of Preemptvely Scheduled Hard Real-tme Systems. epubl GmbH, [2] S. Altmeyer, R. I. Davs, L. Indrusak, C. Maza, V. Nels, and J. Reneke. A generc and compostonal framework for multcore response tme analyss. Techncal report, Dept. Computer Scence, Unversty of York, UK, ftpdr/reports/2015/ycs/499/ycs pdf. [3] S. Altmeyer, R. I. Davs, and C. Maza. Cache related pre-empton aware response tme analyss for fxed prorty pre-emptve systems. In RTSS, pages , December [4] S. Altmeyer, R. I. Davs, and C. Maza. Improved cache related preempton delay aware response tme analyss for fxed prorty preemptve systems. Real-Tme Systems, 48(5): , [5] S. Altmeyer, R. Douma, W. Lunnss, and R.I. Davs. Evaluaton of cache parttonng for hard real-tme systems. In ECRTS, pages 15 26, July [6] P. Atanassov and P. Puschner. Impact of DRAM refresh on the executon tme of real-tme tasks. In IEEE Internatonal Workshop on Applcaton of Relable Computng and Communcaton, pages 29 34, December [7] N. Audsley, A. Burns, M. Rchardson, K. Tndell, and A. J. Wellngs. Applyng new schedulng theory to statc prorty preemptve schedulng. Software Engneerng Journal, 8: , [8] S. Baruah and A. Burns. Sustanable schedulng analyss. In RTSS, pages , December [9] A. Baston, B. Brandenburg, and J. Anderson. Cache-related preempton and mgraton delays: Emprcal approxmaton and mpact on schedulablty. In OSPERT, pages 33 44, July [10] M. Bertogna and M. Crne. Response-tme analyss for globally scheduled symmetrc multprocessor platforms. In RTSS, pages , [11] B. Bhat and F. Mueller. Makng DRAM refresh predctable. Real- Tme Systems, 47(5): , September [12] E. Bn and G. Buttazzo. Measurng the performance of schedulablty tests. Real-Tme Systems, 30: , [13] N. Bnkert et al. The gem5 smulator. SIGARCH Comput. Archt. News, 39(2):1 7, August [14] D. Bu, E. Lee, I. Lu, H. Patel, and J. Reneke. Temporal solaton on multprocessng archtectures. In DAC, pages , June [15] S. Chattopadhyay, A. Roychoudhury, and T. Mtra. Modelng shared cache and bus n mult-cores for tmng analyss. In SCOPES, pages 6:1 6:10, June [16] D. Dasar, V. Nels, and B. Akesson. A framework for memory contenton analyss n mult-core platforms. Real-Tme Systems, pages 1 51, [17] C. Ferdnand, F. Martn, R. Wlhelm, and M. Alt. Cache behavor predcton by abstract nterpretaton. Scence of Computer Programmng, 35(2-3): , [18] J. Gustafsson, A. Betts, A. Ermedahl, and B. Lsper. The Mälardalen WCET benchmarks past, present and future. In WCET, pages , July [19] A. Gustavsson, A. Ermedahl, B. Lsper, and P. Pettersson. Towards WCET analyss of multcore archtectures usng UPPAAL. In WCET, pages , Dagstuhl, Germany, July [20] S. Hahn, J. Reneke, and Wlhelm R. Towards compostonalty n executon tme analyss defnton and challenges. In CRTS, December [21] M. Joseph and P. Pandya. Fndng Response Tmes n a Real-Tme System. The Computer Journal, 29(5): , May [22] T. Kelter, H. Falk, P. Marwedel, S. Chattopadhyay, and A. Roychoudhury. Bus-aware multcore WCET analyss through TDMA offset bounds. In ECRTS, pages 3 12, July [23] T. Kelter, H. Falk, P. Marwedel, S. Chattopadhyay, and A. Roychoudhury. Statc analyss of mult-core TDMA resource arbtraton delays. Real-Tme Systems Journal, 50(2): , [24] H. Km, D. de Nz, B. Andersson, M. Klen, O. Mutlu, and R. Rajkumar. Boundng memory nterference delay n cots-based mult-core systems. In RTAS, [25] C.-G. Lee, J. Hahn, Y.-M. Seo, S.L. Mn, R. Ha, S. Hong, C. Y. Park, M. Lee, and C. S. Km. Analyss of cache-related preempton delay n fxed-prorty preemptve schedulng. IEEE Transactons on Computers, 47(6): , [26] C.G. Lee, K. Lee, J. Hahn, Y.-M. Seo, S. L. Mn, R. Ha, S. Hong, C. Y. Park, M. Lee, and C. S. Km. Boundng cache-related preempton delay for real-tme systems. IEEE TSE, 27(9): , [27] Y. L, V. Suhendra, Y. Lang, T. Mtra, and A. Roychoudhury. Tmng analyss of concurrent programs runnng on shared cache mult-cores. In RTSS, pages 57 67, December [28] Yau-Tsun S. L and S. Malk. Performance analyss of embedded software usng mplct path enumeraton. In DAC, pages , June [29] I. Lu, J. Reneke, D. Broman, M. Zmmer, and E. A. Lee. A PRET mcroarchtecture mplementaton wth repeatable tmng and compettve performance. In ICCD, September [30] M. Lv, W. Y, N. Guan, and G. Yu. Combnng abstract nterpretaton wth model checkng for tmng analyss of multcore software. In RTSS, pages , December [31] Mcron Technologes, Inc. Varous methods of DRAM refresh. Techncal report, [32] J. Nowotsch, M. Paultsch, D. Buhler, H. Thelng, S. Wegener, and M. Schmdt. Mult-core nterference-senstve WCET analyss leveragng runtme resource capacty enforcement. In ECRTS, pages , July [33] M. Paoler, E. Quñones, F. J. Cazorla, G. Bernat, and M. Valero. Hardware support for WCET analyss of hard real-tme multcore systems. SIGARCH Comput. Archt. News, 37(3):57 68, June [34] R. Pellzzon, A. Schranzhofer, J.-J. Chen, M. Caccamo, and L. Thele. Worst case delay analyss for memory nterference n multcore systems. In DATE, pages , March [35] P. Radojkovć, S. Grbal, A. Grasset, E. Quñones, S. Yeha, and F. J. Cazorla. On the evaluaton of the mpact of shared resources n multthreaded COTS processors n tme-crtcal envronments. ACM TACO, 8(4):34, [36] J. Reneke and J. Doerfert. Archtecture-parametrc tmng analyss. In RTAS, pages , Aprl [37] J. Rosen, A. Andre, P. Eles, and Z. Peng. Bus access optmzaton for predctable mplementaton of real-tme applcatons on multprocessor systems-on-chp. In RTSS, pages 49 60, Dec [38] S. Schlecker, M. Negrean, and R. Ernst. Boundng the shared resource load for the performance analyss of multprocessor systems. In DAC, pages , June [39] A. Schranzhofer, J.-J. Chen, and L. Thele. Tmng analyss for TDMA arbtraton n resource sharng systems. In RTAS, pages , Aprl [40] A. Schranzhofer, R. Pellzzon, J.-J. Chen, L. Thele, and M. Caccamo. Tmng analyss for resource access nterference on adaptve resource arbters. In RTAS, pages , Aprl [41] J. Yan and W. Zhang. WCET analyss for mult-core processors wth shared L2 nstructon caches. In RTAS, pages 80 89, [42] H. Yun, G. Yao, R. Pellzzon, M. Caccamo, and L. Sha. Memory access control n multprocessor for real-tme systems wth mxed crtcalty. In ECRTS, pages , 2012.

An Alternative Way to Measure Private Equity Performance

An Alternative Way to Measure Private Equity Performance An Alternatve Way to Measure Prvate Equty Performance Peter Todd Parlux Investment Technology LLC Summary Internal Rate of Return (IRR) s probably the most common way to measure the performance of prvate

More information

Rate Monotonic (RM) Disadvantages of cyclic. TDDB47 Real Time Systems. Lecture 2: RM & EDF. Priority-based scheduling. States of a process

Rate Monotonic (RM) Disadvantages of cyclic. TDDB47 Real Time Systems. Lecture 2: RM & EDF. Priority-based scheduling. States of a process Dsadvantages of cyclc TDDB47 Real Tme Systems Manual scheduler constructon Cannot deal wth any runtme changes What happens f we add a task to the set? Real-Tme Systems Laboratory Department of Computer

More information

1. Fundamentals of probability theory 2. Emergence of communication traffic 3. Stochastic & Markovian Processes (SP & MP)

1. Fundamentals of probability theory 2. Emergence of communication traffic 3. Stochastic & Markovian Processes (SP & MP) 6.3 / -- Communcaton Networks II (Görg) SS20 -- www.comnets.un-bremen.de Communcaton Networks II Contents. Fundamentals of probablty theory 2. Emergence of communcaton traffc 3. Stochastc & Markovan Processes

More information

The Development of Web Log Mining Based on Improve-K-Means Clustering Analysis

The Development of Web Log Mining Based on Improve-K-Means Clustering Analysis The Development of Web Log Mnng Based on Improve-K-Means Clusterng Analyss TngZhong Wang * College of Informaton Technology, Luoyang Normal Unversty, Luoyang, 471022, Chna wangtngzhong2@sna.cn Abstract.

More information

The Greedy Method. Introduction. 0/1 Knapsack Problem

The Greedy Method. Introduction. 0/1 Knapsack Problem The Greedy Method Introducton We have completed data structures. We now are gong to look at algorthm desgn methods. Often we are lookng at optmzaton problems whose performance s exponental. For an optmzaton

More information

FORMAL ANALYSIS FOR REAL-TIME SCHEDULING

FORMAL ANALYSIS FOR REAL-TIME SCHEDULING FORMAL ANALYSIS FOR REAL-TIME SCHEDULING Bruno Dutertre and Vctora Stavrdou, SRI Internatonal, Menlo Park, CA Introducton In modern avoncs archtectures, applcaton software ncreasngly reles on servces provded

More information

benefit is 2, paid if the policyholder dies within the year, and probability of death within the year is ).

benefit is 2, paid if the policyholder dies within the year, and probability of death within the year is ). REVIEW OF RISK MANAGEMENT CONCEPTS LOSS DISTRIBUTIONS AND INSURANCE Loss and nsurance: When someone s subject to the rsk of ncurrng a fnancal loss, the loss s generally modeled usng a random varable or

More information

On the Optimal Control of a Cascade of Hydro-Electric Power Stations

On the Optimal Control of a Cascade of Hydro-Electric Power Stations On the Optmal Control of a Cascade of Hydro-Electrc Power Statons M.C.M. Guedes a, A.F. Rbero a, G.V. Smrnov b and S. Vlela c a Department of Mathematcs, School of Scences, Unversty of Porto, Portugal;

More information

Real-Time Process Scheduling

Real-Time Process Scheduling Real-Tme Process Schedulng ktw@cse.ntu.edu.tw (Real-Tme and Embedded Systems Laboratory) Independent Process Schedulng Processes share nothng but CPU Papers for dscussons: C.L. Lu and James. W. Layland,

More information

The OC Curve of Attribute Acceptance Plans

The OC Curve of Attribute Acceptance Plans The OC Curve of Attrbute Acceptance Plans The Operatng Characterstc (OC) curve descrbes the probablty of acceptng a lot as a functon of the lot s qualty. Fgure 1 shows a typcal OC Curve. 10 8 6 4 1 3 4

More information

Module 2 LOSSLESS IMAGE COMPRESSION SYSTEMS. Version 2 ECE IIT, Kharagpur

Module 2 LOSSLESS IMAGE COMPRESSION SYSTEMS. Version 2 ECE IIT, Kharagpur Module LOSSLESS IMAGE COMPRESSION SYSTEMS Lesson 3 Lossless Compresson: Huffman Codng Instructonal Objectves At the end of ths lesson, the students should be able to:. Defne and measure source entropy..

More information

What is Candidate Sampling

What is Candidate Sampling What s Canddate Samplng Say we have a multclass or mult label problem where each tranng example ( x, T ) conssts of a context x a small (mult)set of target classes T out of a large unverse L of possble

More information

Period and Deadline Selection for Schedulability in Real-Time Systems

Period and Deadline Selection for Schedulability in Real-Time Systems Perod and Deadlne Selecton for Schedulablty n Real-Tme Systems Thdapat Chantem, Xaofeng Wang, M.D. Lemmon, and X. Sharon Hu Department of Computer Scence and Engneerng, Department of Electrcal Engneerng

More information

Calculation of Sampling Weights

Calculation of Sampling Weights Perre Foy Statstcs Canada 4 Calculaton of Samplng Weghts 4.1 OVERVIEW The basc sample desgn used n TIMSS Populatons 1 and 2 was a two-stage stratfed cluster desgn. 1 The frst stage conssted of a sample

More information

DEFINING %COMPLETE IN MICROSOFT PROJECT

DEFINING %COMPLETE IN MICROSOFT PROJECT CelersSystems DEFINING %COMPLETE IN MICROSOFT PROJECT PREPARED BY James E Aksel, PMP, PMI-SP, MVP For Addtonal Informaton about Earned Value Management Systems and reportng, please contact: CelersSystems,

More information

An Analysis of Central Processor Scheduling in Multiprogrammed Computer Systems

An Analysis of Central Processor Scheduling in Multiprogrammed Computer Systems STAN-CS-73-355 I SU-SE-73-013 An Analyss of Central Processor Schedulng n Multprogrammed Computer Systems (Dgest Edton) by Thomas G. Prce October 1972 Techncal Report No. 57 Reproducton n whole or n part

More information

Schedulability Bound of Weighted Round Robin Schedulers for Hard Real-Time Systems

Schedulability Bound of Weighted Round Robin Schedulers for Hard Real-Time Systems Schedulablty Bound of Weghted Round Robn Schedulers for Hard Real-Tme Systems Janja Wu, Jyh-Charn Lu, and We Zhao Department of Computer Scence, Texas A&M Unversty {janjaw, lu, zhao}@cs.tamu.edu Abstract

More information

INVESTIGATION OF VEHICULAR USERS FAIRNESS IN CDMA-HDR NETWORKS

INVESTIGATION OF VEHICULAR USERS FAIRNESS IN CDMA-HDR NETWORKS 21 22 September 2007, BULGARIA 119 Proceedngs of the Internatonal Conference on Informaton Technologes (InfoTech-2007) 21 st 22 nd September 2007, Bulgara vol. 2 INVESTIGATION OF VEHICULAR USERS FAIRNESS

More information

Linear Circuits Analysis. Superposition, Thevenin /Norton Equivalent circuits

Linear Circuits Analysis. Superposition, Thevenin /Norton Equivalent circuits Lnear Crcuts Analyss. Superposton, Theenn /Norton Equalent crcuts So far we hae explored tmendependent (resste) elements that are also lnear. A tmendependent elements s one for whch we can plot an / cure.

More information

Activity Scheduling for Cost-Time Investment Optimization in Project Management

Activity Scheduling for Cost-Time Investment Optimization in Project Management PROJECT MANAGEMENT 4 th Internatonal Conference on Industral Engneerng and Industral Management XIV Congreso de Ingenería de Organzacón Donosta- San Sebastán, September 8 th -10 th 010 Actvty Schedulng

More information

Efficient Striping Techniques for Variable Bit Rate Continuous Media File Servers æ

Efficient Striping Techniques for Variable Bit Rate Continuous Media File Servers æ Effcent Strpng Technques for Varable Bt Rate Contnuous Meda Fle Servers æ Prashant J. Shenoy Harrck M. Vn Department of Computer Scence, Department of Computer Scences, Unversty of Massachusetts at Amherst

More information

Power Low Modified Dual Priority in Hard Real Time Systems with Resource Requirements

Power Low Modified Dual Priority in Hard Real Time Systems with Resource Requirements Power Low Modfed Dual Prorty n Hard Real Tme Systems wth Resource Requrements M.Angels Moncusí, Alex Arenas {amoncus,aarenas}@etse.urv.es Dpt d'engnyera Informàtca Matemàtques Unverstat Rovra Vrgl Campus

More information

A Replication-Based and Fault Tolerant Allocation Algorithm for Cloud Computing

A Replication-Based and Fault Tolerant Allocation Algorithm for Cloud Computing A Replcaton-Based and Fault Tolerant Allocaton Algorthm for Cloud Computng Tork Altameem Dept of Computer Scence, RCC, Kng Saud Unversty, PO Box: 28095 11437 Ryadh-Saud Araba Abstract The very large nfrastructure

More information

Fault tolerance in cloud technologies presented as a service

Fault tolerance in cloud technologies presented as a service Internatonal Scentfc Conference Computer Scence 2015 Pavel Dzhunev, PhD student Fault tolerance n cloud technologes presented as a servce INTRODUCTION Improvements n technques for vrtualzaton and performance

More information

Open Access A Load Balancing Strategy with Bandwidth Constraint in Cloud Computing. Jing Deng 1,*, Ping Guo 2, Qi Li 3, Haizhu Chen 1

Open Access A Load Balancing Strategy with Bandwidth Constraint in Cloud Computing. Jing Deng 1,*, Ping Guo 2, Qi Li 3, Haizhu Chen 1 Send Orders for Reprnts to reprnts@benthamscence.ae The Open Cybernetcs & Systemcs Journal, 2014, 8, 115-121 115 Open Access A Load Balancng Strategy wth Bandwdth Constrant n Cloud Computng Jng Deng 1,*,

More information

Checkng and Testng in Nokia RMS Process

Checkng and Testng in Nokia RMS Process An Integrated Schedulng Mechansm for Fault-Tolerant Modular Avoncs Systems Yann-Hang Lee Mohamed Youns Jeff Zhou CISE Department Unversty of Florda Ganesvlle, FL 326 yhlee@cse.ufl.edu Advanced System Technology

More information

Course outline. Financial Time Series Analysis. Overview. Data analysis. Predictive signal. Trading strategy

Course outline. Financial Time Series Analysis. Overview. Data analysis. Predictive signal. Trading strategy Fnancal Tme Seres Analyss Patrck McSharry patrck@mcsharry.net www.mcsharry.net Trnty Term 2014 Mathematcal Insttute Unversty of Oxford Course outlne 1. Data analyss, probablty, correlatons, vsualsaton

More information

Project Networks With Mixed-Time Constraints

Project Networks With Mixed-Time Constraints Project Networs Wth Mxed-Tme Constrants L Caccetta and B Wattananon Western Australan Centre of Excellence n Industral Optmsaton (WACEIO) Curtn Unversty of Technology GPO Box U1987 Perth Western Australa

More information

CHOLESTEROL REFERENCE METHOD LABORATORY NETWORK. Sample Stability Protocol

CHOLESTEROL REFERENCE METHOD LABORATORY NETWORK. Sample Stability Protocol CHOLESTEROL REFERENCE METHOD LABORATORY NETWORK Sample Stablty Protocol Background The Cholesterol Reference Method Laboratory Network (CRMLN) developed certfcaton protocols for total cholesterol, HDL

More information

Calculating the high frequency transmission line parameters of power cables

Calculating the high frequency transmission line parameters of power cables < ' Calculatng the hgh frequency transmsson lne parameters of power cables Authors: Dr. John Dcknson, Laboratory Servces Manager, N 0 RW E B Communcatons Mr. Peter J. Ncholson, Project Assgnment Manager,

More information

Enabling P2P One-view Multi-party Video Conferencing

Enabling P2P One-view Multi-party Video Conferencing Enablng P2P One-vew Mult-party Vdeo Conferencng Yongxang Zhao, Yong Lu, Changja Chen, and JanYn Zhang Abstract Mult-Party Vdeo Conferencng (MPVC) facltates realtme group nteracton between users. Whle P2P

More information

A DYNAMIC CRASHING METHOD FOR PROJECT MANAGEMENT USING SIMULATION-BASED OPTIMIZATION. Michael E. Kuhl Radhamés A. Tolentino-Peña

A DYNAMIC CRASHING METHOD FOR PROJECT MANAGEMENT USING SIMULATION-BASED OPTIMIZATION. Michael E. Kuhl Radhamés A. Tolentino-Peña Proceedngs of the 2008 Wnter Smulaton Conference S. J. Mason, R. R. Hll, L. Mönch, O. Rose, T. Jefferson, J. W. Fowler eds. A DYNAMIC CRASHING METHOD FOR PROJECT MANAGEMENT USING SIMULATION-BASED OPTIMIZATION

More information

Joint Scheduling of Processing and Shuffle Phases in MapReduce Systems

Joint Scheduling of Processing and Shuffle Phases in MapReduce Systems Jont Schedulng of Processng and Shuffle Phases n MapReduce Systems Fangfe Chen, Mural Kodalam, T. V. Lakshman Department of Computer Scence and Engneerng, The Penn State Unversty Bell Laboratores, Alcatel-Lucent

More information

Network Security Situation Evaluation Method for Distributed Denial of Service

Network Security Situation Evaluation Method for Distributed Denial of Service Network Securty Stuaton Evaluaton Method for Dstrbuted Denal of Servce Jn Q,2, Cu YMn,2, Huang MnHuan,2, Kuang XaoHu,2, TangHong,2 ) Scence and Technology on Informaton System Securty Laboratory, Bejng,

More information

Institute of Informatics, Faculty of Business and Management, Brno University of Technology,Czech Republic

Institute of Informatics, Faculty of Business and Management, Brno University of Technology,Czech Republic Lagrange Multplers as Quanttatve Indcators n Economcs Ivan Mezník Insttute of Informatcs, Faculty of Busness and Management, Brno Unversty of TechnologCzech Republc Abstract The quanttatve role of Lagrange

More information

MAC Layer Service Time Distribution of a Fixed Priority Real Time Scheduler over 802.11

MAC Layer Service Time Distribution of a Fixed Priority Real Time Scheduler over 802.11 Internatonal Journal of Software Engneerng and Its Applcatons Vol., No., Aprl, 008 MAC Layer Servce Tme Dstrbuton of a Fxed Prorty Real Tme Scheduler over 80. Inès El Korb Ecole Natonale des Scences de

More information

J. Parallel Distrib. Comput. Environment-conscious scheduling of HPC applications on distributed Cloud-oriented data centers

J. Parallel Distrib. Comput. Environment-conscious scheduling of HPC applications on distributed Cloud-oriented data centers J. Parallel Dstrb. Comput. 71 (2011) 732 749 Contents lsts avalable at ScenceDrect J. Parallel Dstrb. Comput. ournal homepage: www.elsever.com/locate/pdc Envronment-conscous schedulng of HPC applcatons

More information

1. Measuring association using correlation and regression

1. Measuring association using correlation and regression How to measure assocaton I: Correlaton. 1. Measurng assocaton usng correlaton and regresson We often would lke to know how one varable, such as a mother's weght, s related to another varable, such as a

More information

Research of concurrency control protocol based on the main memory database

Research of concurrency control protocol based on the main memory database Research of concurrency control protocol based on the man memory database Abstract Yonghua Zhang * Shjazhuang Unversty of economcs, Shjazhuang, Shjazhuang, Chna Receved 1 October 2014, www.cmnt.lv The

More information

Answer: A). There is a flatter IS curve in the high MPC economy. Original LM LM after increase in M. IS curve for low MPC economy

Answer: A). There is a flatter IS curve in the high MPC economy. Original LM LM after increase in M. IS curve for low MPC economy 4.02 Quz Solutons Fall 2004 Multple-Choce Questons (30/00 ponts) Please, crcle the correct answer for each of the followng 0 multple-choce questons. For each queston, only one of the answers s correct.

More information

IWFMS: An Internal Workflow Management System/Optimizer for Hadoop

IWFMS: An Internal Workflow Management System/Optimizer for Hadoop IWFMS: An Internal Workflow Management System/Optmzer for Hadoop Lan Lu, Yao Shen Department of Computer Scence and Engneerng Shangha JaoTong Unversty Shangha, Chna lustrve@gmal.com, yshen@cs.sjtu.edu.cn

More information

Luby s Alg. for Maximal Independent Sets using Pairwise Independence

Luby s Alg. for Maximal Independent Sets using Pairwise Independence Lecture Notes for Randomzed Algorthms Luby s Alg. for Maxmal Independent Sets usng Parwse Independence Last Updated by Erc Vgoda on February, 006 8. Maxmal Independent Sets For a graph G = (V, E), an ndependent

More information

An MILP model for planning of batch plants operating in a campaign-mode

An MILP model for planning of batch plants operating in a campaign-mode An MILP model for plannng of batch plants operatng n a campagn-mode Yanna Fumero Insttuto de Desarrollo y Dseño CONICET UTN yfumero@santafe-concet.gov.ar Gabrela Corsano Insttuto de Desarrollo y Dseño

More information

An ILP Formulation for Task Mapping and Scheduling on Multi-core Architectures

An ILP Formulation for Task Mapping and Scheduling on Multi-core Architectures An ILP Formulaton for Task Mappng and Schedulng on Mult-core Archtectures Yng Y, We Han, Xn Zhao, Ahmet T. Erdogan and Tughrul Arslan Unversty of Ednburgh, The Kng's Buldngs, Mayfeld Road, Ednburgh, EH9

More information

Analysis of Premium Liabilities for Australian Lines of Business

Analysis of Premium Liabilities for Australian Lines of Business Summary of Analyss of Premum Labltes for Australan Lnes of Busness Emly Tao Honours Research Paper, The Unversty of Melbourne Emly Tao Acknowledgements I am grateful to the Australan Prudental Regulaton

More information

This article appeared in a journal published by Elsevier. The attached copy is furnished to the author for internal non-commercial research and

This article appeared in a journal published by Elsevier. The attached copy is furnished to the author for internal non-commercial research and Ths artcle appeared n a journal publshed by Elsever. The attached copy s furnshed to the author for nternal non-commercal research and educaton use, ncludng for nstructon at the authors nsttuton and sharng

More information

Data Broadcast on a Multi-System Heterogeneous Overlayed Wireless Network *

Data Broadcast on a Multi-System Heterogeneous Overlayed Wireless Network * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 24, 819-840 (2008) Data Broadcast on a Mult-System Heterogeneous Overlayed Wreless Network * Department of Computer Scence Natonal Chao Tung Unversty Hsnchu,

More information

Traffic-light a stress test for life insurance provisions

Traffic-light a stress test for life insurance provisions MEMORANDUM Date 006-09-7 Authors Bengt von Bahr, Göran Ronge Traffc-lght a stress test for lfe nsurance provsons Fnansnspetonen P.O. Box 6750 SE-113 85 Stocholm [Sveavägen 167] Tel +46 8 787 80 00 Fax

More information

1 Example 1: Axis-aligned rectangles

1 Example 1: Axis-aligned rectangles COS 511: Theoretcal Machne Learnng Lecturer: Rob Schapre Lecture # 6 Scrbe: Aaron Schld February 21, 2013 Last class, we dscussed an analogue for Occam s Razor for nfnte hypothess spaces that, n conjuncton

More information

SPEE Recommended Evaluation Practice #6 Definition of Decline Curve Parameters Background:

SPEE Recommended Evaluation Practice #6 Definition of Decline Curve Parameters Background: SPEE Recommended Evaluaton Practce #6 efnton of eclne Curve Parameters Background: The producton hstores of ol and gas wells can be analyzed to estmate reserves and future ol and gas producton rates and

More information

PAS: A Packet Accounting System to Limit the Effects of DoS & DDoS. Debish Fesehaye & Klara Naherstedt University of Illinois-Urbana Champaign

PAS: A Packet Accounting System to Limit the Effects of DoS & DDoS. Debish Fesehaye & Klara Naherstedt University of Illinois-Urbana Champaign PAS: A Packet Accountng System to Lmt the Effects of DoS & DDoS Debsh Fesehaye & Klara Naherstedt Unversty of Illnos-Urbana Champagn DoS and DDoS DDoS attacks are ncreasng threats to our dgtal world. Exstng

More information

Multi-Resource Fair Allocation in Heterogeneous Cloud Computing Systems

Multi-Resource Fair Allocation in Heterogeneous Cloud Computing Systems 1 Mult-Resource Far Allocaton n Heterogeneous Cloud Computng Systems We Wang, Student Member, IEEE, Ben Lang, Senor Member, IEEE, Baochun L, Senor Member, IEEE Abstract We study the mult-resource allocaton

More information

A Load-Balancing Algorithm for Cluster-based Multi-core Web Servers

A Load-Balancing Algorithm for Cluster-based Multi-core Web Servers Journal of Computatonal Informaton Systems 7: 13 (2011) 4740-4747 Avalable at http://www.jofcs.com A Load-Balancng Algorthm for Cluster-based Mult-core Web Servers Guohua YOU, Yng ZHAO College of Informaton

More information

Conferencing protocols and Petri net analysis

Conferencing protocols and Petri net analysis Conferencng protocols and Petr net analyss E. ANTONIDAKIS Department of Electroncs, Technologcal Educatonal Insttute of Crete, GREECE ena@chana.tecrete.gr Abstract: Durng a computer conference, users desre

More information

RESEARCH ON DUAL-SHAKER SINE VIBRATION CONTROL. Yaoqi FENG 1, Hanping QIU 1. China Academy of Space Technology (CAST) yaoqi.feng@yahoo.

RESEARCH ON DUAL-SHAKER SINE VIBRATION CONTROL. Yaoqi FENG 1, Hanping QIU 1. China Academy of Space Technology (CAST) yaoqi.feng@yahoo. ICSV4 Carns Australa 9- July, 007 RESEARCH ON DUAL-SHAKER SINE VIBRATION CONTROL Yaoq FENG, Hanpng QIU Dynamc Test Laboratory, BISEE Chna Academy of Space Technology (CAST) yaoq.feng@yahoo.com Abstract

More information

A Performance Analysis of View Maintenance Techniques for Data Warehouses

A Performance Analysis of View Maintenance Techniques for Data Warehouses A Performance Analyss of Vew Mantenance Technques for Data Warehouses Xng Wang Dell Computer Corporaton Round Roc, Texas Le Gruenwald The nversty of Olahoma School of Computer Scence orman, OK 739 Guangtao

More information

Chapter 4 ECONOMIC DISPATCH AND UNIT COMMITMENT

Chapter 4 ECONOMIC DISPATCH AND UNIT COMMITMENT Chapter 4 ECOOMIC DISATCH AD UIT COMMITMET ITRODUCTIO A power system has several power plants. Each power plant has several generatng unts. At any pont of tme, the total load n the system s met by the

More information

Mining Multiple Large Data Sources

Mining Multiple Large Data Sources The Internatonal Arab Journal of Informaton Technology, Vol. 7, No. 3, July 2 24 Mnng Multple Large Data Sources Anmesh Adhkar, Pralhad Ramachandrarao 2, Bhanu Prasad 3, and Jhml Adhkar 4 Department of

More information

An Interest-Oriented Network Evolution Mechanism for Online Communities

An Interest-Oriented Network Evolution Mechanism for Online Communities An Interest-Orented Network Evoluton Mechansm for Onlne Communtes Cahong Sun and Xaopng Yang School of Informaton, Renmn Unversty of Chna, Bejng 100872, P.R. Chna {chsun,yang}@ruc.edu.cn Abstract. Onlne

More information

To manage leave, meeting institutional requirements and treating individual staff members fairly and consistently.

To manage leave, meeting institutional requirements and treating individual staff members fairly and consistently. Corporate Polces & Procedures Human Resources - Document CPP216 Leave Management Frst Produced: Current Verson: Past Revsons: Revew Cycle: Apples From: 09/09/09 26/10/12 09/09/09 3 years Immedately Authorsaton:

More information

RESEARCH DISCUSSION PAPER

RESEARCH DISCUSSION PAPER Reserve Bank of Australa RESEARCH DISCUSSION PAPER Competton Between Payment Systems George Gardner and Andrew Stone RDP 2009-02 COMPETITION BETWEEN PAYMENT SYSTEMS George Gardner and Andrew Stone Research

More information

Computer Networks 55 (2011) 3503 3516. Contents lists available at ScienceDirect. Computer Networks. journal homepage: www.elsevier.

Computer Networks 55 (2011) 3503 3516. Contents lists available at ScienceDirect. Computer Networks. journal homepage: www.elsevier. Computer Networks 55 (2011) 3503 3516 Contents lsts avalable at ScenceDrect Computer Networks journal homepage: www.elsever.com/locate/comnet Bonded defct round robn schedulng for mult-channel networks

More information

Feature selection for intrusion detection. Slobodan Petrović NISlab, Gjøvik University College

Feature selection for intrusion detection. Slobodan Petrović NISlab, Gjøvik University College Feature selecton for ntruson detecton Slobodan Petrovć NISlab, Gjøvk Unversty College Contents The feature selecton problem Intruson detecton Traffc features relevant for IDS The CFS measure The mrmr measure

More information

Implementation of Deutsch's Algorithm Using Mathcad

Implementation of Deutsch's Algorithm Using Mathcad Implementaton of Deutsch's Algorthm Usng Mathcad Frank Roux The followng s a Mathcad mplementaton of Davd Deutsch's quantum computer prototype as presented on pages - n "Machnes, Logc and Quantum Physcs"

More information

How To Understand The Results Of The German Meris Cloud And Water Vapour Product

How To Understand The Results Of The German Meris Cloud And Water Vapour Product Ttel: Project: Doc. No.: MERIS level 3 cloud and water vapour products MAPP MAPP-ATBD-ClWVL3 Issue: 1 Revson: 0 Date: 9.12.1998 Functon Name Organsaton Sgnature Date Author: Bennartz FUB Preusker FUB Schüller

More information

Frequency Selective IQ Phase and IQ Amplitude Imbalance Adjustments for OFDM Direct Conversion Transmitters

Frequency Selective IQ Phase and IQ Amplitude Imbalance Adjustments for OFDM Direct Conversion Transmitters Frequency Selectve IQ Phase and IQ Ampltude Imbalance Adjustments for OFDM Drect Converson ransmtters Edmund Coersmeer, Ernst Zelnsk Noka, Meesmannstrasse 103, 44807 Bochum, Germany edmund.coersmeer@noka.com,

More information

How To Calculate The Accountng Perod Of Nequalty

How To Calculate The Accountng Perod Of Nequalty Inequalty and The Accountng Perod Quentn Wodon and Shlomo Ytzha World Ban and Hebrew Unversty September Abstract Income nequalty typcally declnes wth the length of tme taen nto account for measurement.

More information

IDENTIFICATION AND CORRECTION OF A COMMON ERROR IN GENERAL ANNUITY CALCULATIONS

IDENTIFICATION AND CORRECTION OF A COMMON ERROR IN GENERAL ANNUITY CALCULATIONS IDENTIFICATION AND CORRECTION OF A COMMON ERROR IN GENERAL ANNUITY CALCULATIONS Chrs Deeley* Last revsed: September 22, 200 * Chrs Deeley s a Senor Lecturer n the School of Accountng, Charles Sturt Unversty,

More information

Study on Model of Risks Assessment of Standard Operation in Rural Power Network

Study on Model of Risks Assessment of Standard Operation in Rural Power Network Study on Model of Rsks Assessment of Standard Operaton n Rural Power Network Qngj L 1, Tao Yang 2 1 Qngj L, College of Informaton and Electrcal Engneerng, Shenyang Agrculture Unversty, Shenyang 110866,

More information

Efficient Project Portfolio as a tool for Enterprise Risk Management

Efficient Project Portfolio as a tool for Enterprise Risk Management Effcent Proect Portfolo as a tool for Enterprse Rsk Management Valentn O. Nkonov Ural State Techncal Unversty Growth Traectory Consultng Company January 5, 27 Effcent Proect Portfolo as a tool for Enterprse

More information

Performance Analysis of Energy Consumption of Smartphone Running Mobile Hotspot Application

Performance Analysis of Energy Consumption of Smartphone Running Mobile Hotspot Application Internatonal Journal of mart Grd and lean Energy Performance Analyss of Energy onsumpton of martphone Runnng Moble Hotspot Applcaton Yun on hung a chool of Electronc Engneerng, oongsl Unversty, 511 angdo-dong,

More information

APPLICATION OF PROBE DATA COLLECTED VIA INFRARED BEACONS TO TRAFFIC MANEGEMENT

APPLICATION OF PROBE DATA COLLECTED VIA INFRARED BEACONS TO TRAFFIC MANEGEMENT APPLICATION OF PROBE DATA COLLECTED VIA INFRARED BEACONS TO TRAFFIC MANEGEMENT Toshhko Oda (1), Kochro Iwaoka (2) (1), (2) Infrastructure Systems Busness Unt, Panasonc System Networks Co., Ltd. Saedo-cho

More information

Risk Model of Long-Term Production Scheduling in Open Pit Gold Mining

Risk Model of Long-Term Production Scheduling in Open Pit Gold Mining Rsk Model of Long-Term Producton Schedulng n Open Pt Gold Mnng R Halatchev 1 and P Lever 2 ABSTRACT Open pt gold mnng s an mportant sector of the Australan mnng ndustry. It uses large amounts of nvestments,

More information

Staff Paper. Farm Savings Accounts: Examining Income Variability, Eligibility, and Benefits. Brent Gloy, Eddy LaDue, and Charles Cuykendall

Staff Paper. Farm Savings Accounts: Examining Income Variability, Eligibility, and Benefits. Brent Gloy, Eddy LaDue, and Charles Cuykendall SP 2005-02 August 2005 Staff Paper Department of Appled Economcs and Management Cornell Unversty, Ithaca, New York 14853-7801 USA Farm Savngs Accounts: Examnng Income Varablty, Elgblty, and Benefts Brent

More information

Methodology to Determine Relationships between Performance Factors in Hadoop Cloud Computing Applications

Methodology to Determine Relationships between Performance Factors in Hadoop Cloud Computing Applications Methodology to Determne Relatonshps between Performance Factors n Hadoop Cloud Computng Applcatons Lus Eduardo Bautsta Vllalpando 1,2, Alan Aprl 1 and Alan Abran 1 1 Department of Software Engneerng and

More information

VRT012 User s guide V0.1. Address: Žirmūnų g. 27, Vilnius LT-09105, Phone: (370-5) 2127472, Fax: (370-5) 276 1380, Email: info@teltonika.

VRT012 User s guide V0.1. Address: Žirmūnų g. 27, Vilnius LT-09105, Phone: (370-5) 2127472, Fax: (370-5) 276 1380, Email: info@teltonika. VRT012 User s gude V0.1 Thank you for purchasng our product. We hope ths user-frendly devce wll be helpful n realsng your deas and brngng comfort to your lfe. Please take few mnutes to read ths manual

More information

AN APPOINTMENT ORDER OUTPATIENT SCHEDULING SYSTEM THAT IMPROVES OUTPATIENT EXPERIENCE

AN APPOINTMENT ORDER OUTPATIENT SCHEDULING SYSTEM THAT IMPROVES OUTPATIENT EXPERIENCE AN APPOINTMENT ORDER OUTPATIENT SCHEDULING SYSTEM THAT IMPROVES OUTPATIENT EXPERIENCE Yu-L Huang Industral Engneerng Department New Mexco State Unversty Las Cruces, New Mexco 88003, U.S.A. Abstract Patent

More information

Performance Analysis and Comparison of QoS Provisioning Mechanisms for CBR Traffic in Noisy IEEE 802.11e WLANs Environments

Performance Analysis and Comparison of QoS Provisioning Mechanisms for CBR Traffic in Noisy IEEE 802.11e WLANs Environments Tamkang Journal of Scence and Engneerng, Vol. 12, No. 2, pp. 143149 (2008) 143 Performance Analyss and Comparson of QoS Provsonng Mechansms for CBR Traffc n Nosy IEEE 802.11e WLANs Envronments Der-Junn

More information

8 Algorithm for Binary Searching in Trees

8 Algorithm for Binary Searching in Trees 8 Algorthm for Bnary Searchng n Trees In ths secton we present our algorthm for bnary searchng n trees. A crucal observaton employed by the algorthm s that ths problem can be effcently solved when the

More information

ANALYZING THE RELATIONSHIPS BETWEEN QUALITY, TIME, AND COST IN PROJECT MANAGEMENT DECISION MAKING

ANALYZING THE RELATIONSHIPS BETWEEN QUALITY, TIME, AND COST IN PROJECT MANAGEMENT DECISION MAKING ANALYZING THE RELATIONSHIPS BETWEEN QUALITY, TIME, AND COST IN PROJECT MANAGEMENT DECISION MAKING Matthew J. Lberatore, Department of Management and Operatons, Vllanova Unversty, Vllanova, PA 19085, 610-519-4390,

More information

1.1 The University may award Higher Doctorate degrees as specified from time-to-time in UPR AS11 1.

1.1 The University may award Higher Doctorate degrees as specified from time-to-time in UPR AS11 1. HIGHER DOCTORATE DEGREES SUMMARY OF PRINCIPAL CHANGES General changes None Secton 3.2 Refer to text (Amendments to verson 03.0, UPR AS02 are shown n talcs.) 1 INTRODUCTION 1.1 The Unversty may award Hgher

More information

Traffic State Estimation in the Traffic Management Center of Berlin

Traffic State Estimation in the Traffic Management Center of Berlin Traffc State Estmaton n the Traffc Management Center of Berln Authors: Peter Vortsch, PTV AG, Stumpfstrasse, D-763 Karlsruhe, Germany phone ++49/72/965/35, emal peter.vortsch@ptv.de Peter Möhl, PTV AG,

More information

THE DISTRIBUTION OF LOAN PORTFOLIO VALUE * Oldrich Alfons Vasicek

THE DISTRIBUTION OF LOAN PORTFOLIO VALUE * Oldrich Alfons Vasicek HE DISRIBUION OF LOAN PORFOLIO VALUE * Oldrch Alfons Vascek he amount of captal necessary to support a portfolo of debt securtes depends on the probablty dstrbuton of the portfolo loss. Consder a portfolo

More information

A New Quality of Service Metric for Hard/Soft Real-Time Applications

A New Quality of Service Metric for Hard/Soft Real-Time Applications A New Qualty of Servce Metrc for Hard/Soft Real-Tme Applcatons Shaoxong Hua and Gang Qu Electrcal and Computer Engneerng Department and Insttute of Advanced Computer Study Unversty of Maryland, College

More information

Extending Probabilistic Dynamic Epistemic Logic

Extending Probabilistic Dynamic Epistemic Logic Extendng Probablstc Dynamc Epstemc Logc Joshua Sack May 29, 2008 Probablty Space Defnton A probablty space s a tuple (S, A, µ), where 1 S s a set called the sample space. 2 A P(S) s a σ-algebra: a set

More information

Politecnico di Torino. Porto Institutional Repository

Politecnico di Torino. Porto Institutional Repository Poltecnco d Torno Porto Insttutonal Repostory [Artcle] A cost-effectve cloud computng framework for acceleratng multmeda communcaton smulatons Orgnal Ctaton: D. Angel, E. Masala (2012). A cost-effectve

More information

A New Task Scheduling Algorithm Based on Improved Genetic Algorithm

A New Task Scheduling Algorithm Based on Improved Genetic Algorithm A New Task Schedulng Algorthm Based on Improved Genetc Algorthm n Cloud Computng Envronment Congcong Xong, Long Feng, Lxan Chen A New Task Schedulng Algorthm Based on Improved Genetc Algorthm n Cloud Computng

More information

Intra-year Cash Flow Patterns: A Simple Solution for an Unnecessary Appraisal Error

Intra-year Cash Flow Patterns: A Simple Solution for an Unnecessary Appraisal Error Intra-year Cash Flow Patterns: A Smple Soluton for an Unnecessary Apprasal Error By C. Donald Wggns (Professor of Accountng and Fnance, the Unversty of North Florda), B. Perry Woodsde (Assocate Professor

More information

Dynamic Scheduling of Emergency Department Resources

Dynamic Scheduling of Emergency Department Resources Dynamc Schedulng of Emergency Department Resources Junchao Xao Laboratory for Internet Software Technologes, Insttute of Software, Chnese Academy of Scences P.O.Box 8718, No. 4 South Fourth Street, Zhong

More information

Analysis of Energy-Conserving Access Protocols for Wireless Identification Networks

Analysis of Energy-Conserving Access Protocols for Wireless Identification Networks From the Proceedngs of Internatonal Conference on Telecommuncaton Systems (ITC-97), March 2-23, 1997. 1 Analyss of Energy-Conservng Access Protocols for Wreless Identfcaton etworks Imrch Chlamtac a, Chara

More information

denote the location of a node, and suppose node X . This transmission causes a successful reception by node X for any other node

denote the location of a node, and suppose node X . This transmission causes a successful reception by node X for any other node Fnal Report of EE359 Class Proect Throughput and Delay n Wreless Ad Hoc Networs Changhua He changhua@stanford.edu Abstract: Networ throughput and pacet delay are the two most mportant parameters to evaluate

More information

Dynamic Resource Allocation for MapReduce with Partitioning Skew

Dynamic Resource Allocation for MapReduce with Partitioning Skew Ths artcle has been accepted for publcaton n a future ssue of ths journal, but has not been fully edted. Content may change pror to fnal publcaton. Ctaton nformaton: DOI 1.119/TC.216.253286, IEEE Transactons

More information

"Research Note" APPLICATION OF CHARGE SIMULATION METHOD TO ELECTRIC FIELD CALCULATION IN THE POWER CABLES *

Research Note APPLICATION OF CHARGE SIMULATION METHOD TO ELECTRIC FIELD CALCULATION IN THE POWER CABLES * Iranan Journal of Scence & Technology, Transacton B, Engneerng, ol. 30, No. B6, 789-794 rnted n The Islamc Republc of Iran, 006 Shraz Unversty "Research Note" ALICATION OF CHARGE SIMULATION METHOD TO ELECTRIC

More information

METHODOLOGY TO DETERMINE RELATIONSHIPS BETWEEN PERFORMANCE FACTORS IN HADOOP CLOUD COMPUTING APPLICATIONS

METHODOLOGY TO DETERMINE RELATIONSHIPS BETWEEN PERFORMANCE FACTORS IN HADOOP CLOUD COMPUTING APPLICATIONS METHODOLOGY TO DETERMINE RELATIONSHIPS BETWEEN PERFORMANCE FACTORS IN HADOOP CLOUD COMPUTING APPLICATIONS Lus Eduardo Bautsta Vllalpando 1,2, Alan Aprl 1 and Alan Abran 1 1 Department of Software Engneerng

More information

QoS in the Linux Operating System. Technical Report

QoS in the Linux Operating System. Technical Report Unverstät Karlsruhe (H) Insttut für elematk QoS n the Lnux Operatng System echncal Report Marc Bechler and Hartmut Rtter Insttut für elematk Fakultät für Informatk Unverstät Karlsruhe (H) E-Mal: [mbechler

More information

+ + + - - This circuit than can be reduced to a planar circuit

+ + + - - This circuit than can be reduced to a planar circuit MeshCurrent Method The meshcurrent s analog of the nodeoltage method. We sole for a new set of arables, mesh currents, that automatcally satsfy KCLs. As such, meshcurrent method reduces crcut soluton to

More information

Enterprise Master Patient Index

Enterprise Master Patient Index Enterprse Master Patent Index Healthcare data are captured n many dfferent settngs such as hosptals, clncs, labs, and physcan offces. Accordng to a report by the CDC, patents n the Unted States made an

More information

An Introduction to 3G Monte-Carlo simulations within ProMan

An Introduction to 3G Monte-Carlo simulations within ProMan An Introducton to 3G Monte-Carlo smulatons wthn ProMan responsble edtor: Hermann Buddendck AWE Communcatons GmbH Otto-Llenthal-Str. 36 D-71034 Böblngen Phone: +49 70 31 71 49 7-16 Fax: +49 70 31 71 49

More information

On the Interaction between Load Balancing and Speed Scaling

On the Interaction between Load Balancing and Speed Scaling On the Interacton between Load Balancng and Speed Scalng Ljun Chen and Na L Abstract Speed scalng has been wdely adopted n computer and communcaton systems, n partcular, to reduce energy consumpton. An

More information

Self-Adaptive SLA-Driven Capacity Management for Internet Services

Self-Adaptive SLA-Driven Capacity Management for Internet Services Self-Adaptve SLA-Drven Capacty Management for Internet Servces Bruno Abrahao, Vrglo Almeda and Jussara Almeda Computer Scence Department Federal Unversty of Mnas Geras, Brazl Alex Zhang, Drk Beyer and

More information