[Bar92] G. Barret. Occam3 Reference Manual. InMos Limited, marzo [BaTe97] V.Barbati e L.Tesauro. Master s Thesis, CEFRIEL, luglio 97.

Size: px
Start display at page:

Download "[Bar92] G. Barret. Occam3 Reference Manual. InMos Limited, marzo 1992. [BaTe97] V.Barbati e L.Tesauro. Master s Thesis, CEFRIEL, luglio 97."

Transcription

1 Bibliografia [BaChJu97] F. Balarin, M. Chiodo, A. Jurecska et all., Hardware software co-design of Embedded System: A Polis Approach, Kluwer Accademic Press, giugno [Bar92] G. Barret. Occam3 Reference Manual. InMos Limited, marzo [BaTe97] V.Barbati e L.Tesauro. Master s Thesis, CEFRIEL, luglio 97. [BeBo97] S. Bernardi e A. Bottiroli, Relazione Progetto EDA, CEFRIEL, marzo 97. [BEKSS95] [BeHeEr] [BHLM92] T. Benner, R. Ernst, I. Konenkamp, P. Schuler, H:-C. Schaub, A Prototyping System for Verification and Evaluation in Hardware-Software Cosynthesis, Technical Report, Politecnico di Braunschweig, T. Benner, J. Henkel, R. Ernst, Hardware Generation and Partitioning Effects in the COSYMA system, Technical Report, Politecnico di Braunschweig. J. Buck, S. Ha, E: A: Lee, D. G. Messerschmitt, Ptolemy: A Framework for Simulating and Prototyping Heterogeneous Systems, International Journal of Computer Simulation, University of California, Berkeley, agosto [BoDeMa97] I. Bolsens, H. De Man, Hardware/Sofware Co-design of Digital Telecommunication Systems, Proceedings of the IEEE, Vol. 85, No. 3, marzo [COBo94] P. Chou, R. Ortega, G. Borriello The Chinook Hardware/Software Co-Synthesis System, Technical Report, University of Washington, Seattle, maggio [Co91] R. S. Cok, Parallel Programs for the transputer,

2 [CWBo94] P. Chou, E. Walkup, G. Borriello, Scheduling Issues in the Co-Synthesis of Reactive Real-Time Systems, Technical Report, University of Washington, Seattle, aprile [DeMi93] G. De Micheli, Synthesis of Digital Circuits, Stanford University, [De89] A. L. Decegama; The Technology of parallel processing, [FaGa96] [Fi96] [GaKu83] [GDWL93] [GFV94] N. Fan e D.D.Gajski, Estimation of Schedules for Control/Datapath Pipelining, Technical Report, University of California, Irvine, agosto S. Filipponi, Metodi per la specifica ed analisi temporale di sistemi dominati dal controllo, PhD thesis, luglio 1996 D. D. Gajski e R. Kuhn, Guest Editors Introduction: New VLSI Tools IEEE Computer, vol. 16, no. 12, pp , dicembre D. D. Gajski, N. D. Dutt, A. C-H Wu, S. Y-L Lin, HIGH-LEVEL SYNTHESIS: Introduction to Chip and System Design, Kluwer Academic Publishers, D.D.Gajski. e S. Narayan F. Vahid, Specification and design of embedded sytems, Prentice-Hall, [Gra94] Mentor Graphics, Mentor graphics reference manual, [HaSt71] A. Hashimoto e J.Stevens, Wire Routing by Optimiwing Channel Assignment within Large Apertures, The 8 th Design Automation Conference Workshop, pp , [HaVrZa96] V. C. Hamaker, Z. G. Vranesic, S. G. Zaky, Computer Organization, [HCLH90] [HEHB95] [Hi85] C-Y. Huang, Y-S Chen, Y-L Lin e Y-C Hsu, Data Path Allocation Based on Bipartite Weighted Matching, Proceedings of the 27 th Design Automation Conference pp , J. Henkel, R. Ernst, U. Holtmann, T. Benner, Adaptation of Partitioning and High-Level Syntheisis in Hardware/Software Co-Synthesis, Technical Report, Politecnico di Braunschweig, P. Hilfinger, A High-level Language and Silicon Compiler for Digital Signal Processing, Proc. of IEEE 1985 Custom Integrated Circuits Conference, Portland, OR, USA, maggio 1985, pp

3 [HoJe81] R. W. Hockney, C. R. Jesshope, Parallel Computers, [Ho93] [KaKa90] [Ka95] [KeLi70] [KiGV83] [KuDeMi89] [KuPa87] [JeOBr92] [JMSW91] [LeHL89] [Lim95] [LM93] U. Holtmann, Hierarchical Behavioural Representation in the Braunschwieg Synthesis System BSS, IFIP Workshop on Application of Synthesis and Simulation, Lenggries, S. P. Kartashev, S. I. Kartashev, Supercomputing Systems: architectures, design and performance, A. P. Kalavade, System-Level Codesign of Mixed Hardware-Software Systems, Ph.D Thesis, University of California, Berkeley, pp , K. H. Kernighan e S. Lin, An Efficient Heuristic Procedure for Partitiong Graph, Bell System Technical Journal, vol. 49, no.2, pp , febbraio S. Kirkpatrick, C.D. Gelatt, M. P. Vecchi, Optimization by Simulated Annealing, Science, vol No. 4598, pp , D. Ku, G. De Micheli, Optimal synthesis of control logic from behavioural specification, F. J. Kurdahi, A. C. Parker, REAL: A Program for Register Allocation, Procedeeings of 24 th Design Automation Conference, pp , A. A. Jerraya, K. O Brien, I. Park, B. Courtois, Towards system level modeling and synthesis, Proc. VLSI 92, India, febbraio R. Jain, A. Mujumdar, A. Sharma e H. Wang, Empirical Evaluation of Some Hig- Level Synthesis Scheduling Heuristics, Proceedings of the 28 th Design Automation Conference pp , J. Lee, Y. Hsu e Y. Lin, A New Integer Linear Programming Formulation for the Scheduling Problem in Data-Path Synthesis, Proceedings of the International Conference on Computer-Aided Design, pp 20-23, SGS-Thompson Microelectronics Limited, Occam 2.1 Reference Manual, maggio E.A.Lee e D.G.Messerschmitt, An overview of the Ptolemy project Technical Report, University of California, Berkeley, gennaio [MoKi97] M. Morris, C. R. Kime, Logic and computer design fundamentals,

4 [PaKn89] [PaKy91] P. G. Paulin e J. P. Knight, Force Directed Scheduling for the Behavioural Synthesis of ASIC s, IEEE Transaction on Computer Aided Design of Integrated Circuits and Systems, vol. 8, no. 6, pp , giugno I-C. Park e C-M. Kyung, Fast and Near Optimal Scheduling in Automatic Data Path Synthesis, Proceedings of the 28 th Design Automation Conference pp , [Pe88] D. L. Perry. VHDL. McGraw-Hill, Inc [PM87] [Polis] [Ptolemy] [Rabaey91] [SLSG] D. Pountain e D. May, A tutorial introduction to occam programming, Technical Report ISBN X, InMos, Gran Bretagna, S. Bhattacharyya et all, An Overview of the Ptolemy Project, University of California, Berkeley, marzo M. Rabaey, C: Chu, P. Hoang, M. Potkonak, Fast Prototyping of datapathintensive Architectures, IEEE Design And Test of Computers, pp , giugno [SSL+92] E.M.Sentovich, K. J. Singh, L. Lavagno, C: Moon, R. Murgai, A. Saldanha, H. Savoj, P.R.Stephan, R.K. Brayton, and A. Sangiovanni-Vincentelli, SIS: A system for sequential circuit synthesis, Technical Report UCB/ERL M92/41, U:C: Berkeley, maggio [Stu94] G.S.Sturniolo, Un approccio al problema del partizionamento hardware/software delle specifiche di progetto, PhD thesis, Politecnico di Milano, [TLWN90] D. E. Thomas, E. D. Lagnese, R. A. Walker, J. A. Nestor, J. V. Rajan e R. L. BlackBurn, Algorithmic and Register-Transfer Level Synthesis: The System Architect s Workbench, Kluwer Academic Publishers, Boston, [TsSi86] [Vin95] C. J. Tseng e D. P. Siewiorek, Automated Synthesis of Data Paths on Digital Systems, IEEE Transaction on Computer Aided Design of Integrated Circuits and Systems, vol. CAD-5, no. 3, pp , luglio M. Vincenzi, Specifica di sistema e sintesi del software per architetture miste hardware/software, PhD thesis, Politecnico di Milano,

5 [WaBo94] E. Walkup, G. Borriello, Automatic Synthesis of Device Drivers for Hardware/Sofware Codesign, Technical Report, University of Washington, Seattle, agosto

Hardware Resource Allocation for Hardware/Software Partitioning in the LYCOS System

Hardware Resource Allocation for Hardware/Software Partitioning in the LYCOS System Hardware Resource Allocation for Hardware/Software Partitioning in the LYCOS System Jesper Grode, Peter V. Knudsen and Jan Madsen Department of Information Technology Technical University of Denmark Email:

More information

A Hardware-Software Cosynthesis Technique Based on Heterogeneous Multiprocessor Scheduling

A Hardware-Software Cosynthesis Technique Based on Heterogeneous Multiprocessor Scheduling A Hardware-Software Cosynthesis Technique Based on Heterogeneous Multiprocessor Scheduling ABSTRACT Hyunok Oh cosynthesis problem targeting the system-on-chip (SOC) design. The proposed algorithm covers

More information

YAML: A Tool for Hardware Design Visualization and Capture

YAML: A Tool for Hardware Design Visualization and Capture YAML: A Tool for Hardware Design Visualization and Capture Vivek Sinha, Frederic Doucet, Chuck Siska, Rajesh Gupta, Stan Liao, Abhijit Ghosh Center for Embedded Computer Systems, University of California,

More information

The Emerging Trends in Electrical and Computer Engineering

The Emerging Trends in Electrical and Computer Engineering 18-200 Fall 2006 The Emerging Trends in Electrical and Computer Engineering Hosting instructor: Prof. Jimmy Zhu; Time: Thursdays 3:30-4:20pm; Location: DH 2210 Date Lecturer Lecture Contents L01 08/31

More information

A Framework for Automatic Generation of Configuration Files for a Custom Hardware/Software RTOS

A Framework for Automatic Generation of Configuration Files for a Custom Hardware/Software RTOS A Framework for Automatic Generation of Configuration Files for a Custom Hardware/Software Jaehwan Lee, Kyeong Keol Ryu and Vincent John Mooney III School of Electrical and Computer Engineering Georgia

More information

Hardware/Software Codesign Overview

Hardware/Software Codesign Overview Hardware/Software Codesign Overview Education & Facilitation Program Module 14 Version 3.00 All rights reserved. This information is copyrighted by the SCRA, through its Advanced Technology Institute,

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Master Specialization in Digital Design: Design and Programming of Embedded Systems

Master Specialization in Digital Design: Design and Programming of Embedded Systems Master Specialization in Digital Design: Design and Programming of Embedded Systems Jan Schmidt, Ph.D. Department of Digital Design Faculty of Information Technology Czech Technical University in Prague

More information

From UML to HDL: a Model Driven Architectural Approach to Hardware-Software Co-Design

From UML to HDL: a Model Driven Architectural Approach to Hardware-Software Co-Design From UML to HDL: a Model Driven Architectural Approach to Hardware-Software Co-Design Frank P. Coyle and Mitchell A. Thornton Computer Science and Engineering Dept Southern Methodist University Dallas

More information

Systems on Chip Design

Systems on Chip Design Systems on Chip Design College: Engineering Department: Electrical First: Course Definition, a Summary: 1 Course Code: EE 19 Units: 3 credit hrs 3 Level: 3 rd 4 Prerequisite: Basic knowledge of microprocessor/microcontroller

More information

Eingebettete Systeme. 4: Entwurfsmethodik, HW/SW Co-Design. Technische Informatik T T T

Eingebettete Systeme. 4: Entwurfsmethodik, HW/SW Co-Design. Technische Informatik T T T Eingebettete Systeme 4: Entwurfsmethodik, HW/SW Co-Design echnische Informatik System Level Design: ools and Flow Refinement of HW/SW Systems ools for HW/SW Co-Design C-based design of HW/SW Systems echnische

More information

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models System Development Models and Methods Dipl.-Inf. Mirko Caspar Version: 10.02.L.r-1.0-100929 Contents HW/SW Codesign Process Design Abstraction and Views Synthesis Control/Data-Flow Models System Synthesis

More information

Bob Boothe. Education. Research Interests. Teaching Experience

Bob Boothe. Education. Research Interests. Teaching Experience Bob Boothe Computer Science Dept. University of Southern Maine 96 Falmouth St. P.O. Box 9300 Portland, ME 04103--9300 (207) 780-4789 email: boothe@usm.maine.edu 54 Cottage Park Rd. Portland, ME 04103 (207)

More information

A. Binary-Constraint Search Algorithm for Minimizing Hardware during Hardware/Software Partitioning

A. Binary-Constraint Search Algorithm for Minimizing Hardware during Hardware/Software Partitioning A. Binary-Constraint Search Algorithm for Minimizing Hardware during Hardware/Software Partitioning Frank Vahidf Jie Gong and Daniel D. Gajski Department of Information and Computer Science University

More information

A Codesign Virtual Machine for Hierarchical, Balanced Hardware/Software System Modeling

A Codesign Virtual Machine for Hierarchical, Balanced Hardware/Software System Modeling A Codesign Virtual Machine for Hierarchical, Balanced Hardware/Software System Modeling JoAnn M. Paul, Simon N. Peffers, and Donald E. Thomas Center for Electronic Design Automation Carnegie Mellon University

More information

Microelectronic System-on-Chip Modeling using Objects and their Relationships

Microelectronic System-on-Chip Modeling using Objects and their Relationships Microelectronic System-on-Chip Modeling using Objects and their Relationships Frederic Doucet, Rajesh K. Gupta {doucet, rgupta}@ics.uci.edu Center for Embedded Computer Systems University of California

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

Architectures and Design Methodologies for Micro and Nanocomputing

Architectures and Design Methodologies for Micro and Nanocomputing Architectures and Design Methodologies for Micro and Nanocomputing PhD Poster Day, December 4, 2014 Matteo Bollo 1 (ID: 24367, I PhD Year) Tutor: Maurizio Zamboni 1 Collaborators: Mariagrazia Graziano

More information

Tunable Embedded Software Development Platform

Tunable Embedded Software Development Platform Tunable Embedded Software Development Platform (Win-Bin See) 68 111 16-7 winbinsee@ms.aidc.com.tw (Sao-Jie Chen) csj@cc.ee.ntu.edu.tw (Pao-Ann Hsiung) 160 pahsiung@cs.ccu.edu.tw (Trong-Yen Lee) 190 tylee@ccit.edu.tw

More information

Milano: un esempio di prototipo di WMS. Alessandra Agostini, Tecnologie per la cooperazione

Milano: un esempio di prototipo di WMS. Alessandra Agostini, Tecnologie per la cooperazione Milano: un esempio di prototipo di WMS 54 The Milano WMS MWMS MCH A component of a CSCW platform: Application Environment MOR Execution Safe-Tcl e-mail Linking communication and action Environment Interpreter

More information

A Survey on Hardware/Software Codesign Representation Models

A Survey on Hardware/Software Codesign Representation Models SAVE Project Report, Dept. of Computer and Information Science, Linköping University, Linköping, June 1999. A Survey on Hardware/Software Codesign Representation Models Luis Alejandro Cortés, Petru Eles

More information

FPGA area allocation for parallel C applications

FPGA area allocation for parallel C applications 1 FPGA area allocation for parallel C applications Vlad-Mihai Sima, Elena Moscu Panainte, Koen Bertels Computer Engineering Faculty of Electrical Engineering, Mathematics and Computer Science Delft University

More information

Codesign: The World Of Practice

Codesign: The World Of Practice Codesign: The World Of Practice D. Sreenivasa Rao Senior Manager, System Level Integration Group Analog Devices Inc. May 2007 Analog Devices Inc. ADI is focused on high-end signal processing chips and

More information

SoC Curricula at Tallinn Technical University

SoC Curricula at Tallinn Technical University SoC Curricula at Tallinn Technical University Margus Kruus, Kalle Tammemäe, Peeter Ellervee Tallinn Technical University Phone: +372-6202250, Fax: +372-6202246 kruus@cc.ttu.ee nalle@cc.ttu.ee lrv@cc.ttu.ee

More information

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng Architectural Level Power Consumption of Network Presenter: YUAN Zheng Why Architectural Low Power Design? High-speed and large volume communication among different parts on a chip Problem: Power consumption

More information

An Efficient Heuristic to Task Scheduling and Memory Partitioning for Multiprocessor System-on-Chip Maria Glenny.P, Suganyadevi.K

An Efficient Heuristic to Task Scheduling and Memory Partitioning for Multiprocessor System-on-Chip Maria Glenny.P, Suganyadevi.K An Efficient Heuristic to Task Scheduling and Memory Partitioning for Multiprocessor System-on-Chip Maria Glenny.P, Suganyadevi.K Abstract MPSoC architecture uses multiple processors which provide the

More information

Hardware Software Partitioning Problem in Embedded System Design Using Particle Swarm Optimization Algorithm

Hardware Software Partitioning Problem in Embedded System Design Using Particle Swarm Optimization Algorithm Hardware Software Partitioning Problem in Embedded System Design Using Particle Swarm Optimization Algorithm Alakananda Bhattacharya 1, Amit Konar 1, Swagatam Das 1, Crina Grosan 2 and Ajith Abraham 3

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM

DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM * Monire Norouzi Young Researchers and Elite Club, Shabestar Branch, Islamic Azad University, Shabestar, Iran *Author for Correspondence ABSTRACT

More information

imtech Curriculum Presentation

imtech Curriculum Presentation imtech Curriculum Presentation Effective from Batch 2015 Onwards April, 2015 Course Structure Every course has a fixed number of credits associated with it (e.g., 4 credits) One has to earn 200 credits

More information

Università degli Studi di Verona, Facoltà di Scienze MM. FF. NN. Curriculum Vitae

Università degli Studi di Verona, Facoltà di Scienze MM. FF. NN. Curriculum Vitae Curriculum Vitae Franco Fummi:, Università di Verona Strada le Grazie, 15 37134 Verona tel.: +390458027994; cell.: +393485109933 franco.fummi@univr.it http://www.di.univr.it/~fummi 1 Education First degree:

More information

Multi-objective Design Space Exploration based on UML

Multi-objective Design Space Exploration based on UML Multi-objective Design Space Exploration based on UML Marcio F. da S. Oliveira, Eduardo W. Brião, Francisco A. Nascimento, Instituto de Informática, Universidade Federal do Rio Grande do Sul (UFRGS), Brazil

More information

A Survey of Digital Design Reuse

A Survey of Digital Design Reuse Design Reuse A Survey of Digital Design Reuse Margarida F. Jacome The University of Texas at Austin Helvio P. Peixoto Intel Corp. The authors survey recent advances in digital design reuse. They stress

More information

Undergraduate Major in Computer Science and Engineering

Undergraduate Major in Computer Science and Engineering University of California, Irvine 2015-2016 1 Undergraduate Major in Computer Science and Engineering On This Page: Overview Admissions Requirements for the B.S. in Computer Science and Engineering Sample

More information

Software Synthesis from Dataflow Models for G and LabVIEW

Software Synthesis from Dataflow Models for G and LabVIEW Presented at the Thirty-second Annual Asilomar Conference on Signals, Systems, and Computers. Pacific Grove, California, U.S.A., November 1998 Software Synthesis from Dataflow Models for G and LabVIEW

More information

Platform-Based Design and the First Generation Dilemma Jiang Xu and Wayne Wolf

Platform-Based Design and the First Generation Dilemma Jiang Xu and Wayne Wolf Platform-Based Design and the First Generation Dilemma Jiang Xu and Wayne Wolf Dept. of ELE, Princeton University Jiangxu, Wolf@ee.Princeton.edu Abstract In this paper, we analyze system-level design methodologies

More information

Frequency Interleaving as a Codesign Scheduling Paradigm

Frequency Interleaving as a Codesign Scheduling Paradigm Frequency Interleaving as a Codesign Scheduling Paradigm JoAnn M. Paul, Simon N. Peffers, and Donald E. Thomas Center for Electronic Design Automation Carnegie Mellon University Pittsburgh, PA 15213 USA

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

International Workshop on Field Programmable Logic and Applications, FPL '99

International Workshop on Field Programmable Logic and Applications, FPL '99 International Workshop on Field Programmable Logic and Applications, FPL '99 DRIVE: An Interpretive Simulation and Visualization Environment for Dynamically Reconægurable Systems? Kiran Bondalapati and

More information

SPEED-POWER EXPLORATION OF 2-D INTELLIGENCE NETWORK- ON-CHIP FOR MULTI-CLOCK MULTI-MICROCONTROLLER ON 28nm FPGA (Zynq-7000) DESIGN

SPEED-POWER EXPLORATION OF 2-D INTELLIGENCE NETWORK- ON-CHIP FOR MULTI-CLOCK MULTI-MICROCONTROLLER ON 28nm FPGA (Zynq-7000) DESIGN SPEED-POWER EXPLORATION OF 2-D INTELLIGENCE NETWORK- ON-CHIP FOR MULTI-CLOCK MULTI-MICROCONTROLLER ON 28nm FPGA (Zynq-7000) DESIGN Anoop Kumar Vishwakarma 1, Uday Arun 2 1 Student (M.Tech.), ECE, ABES

More information

Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design

Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design Department of Electrical and Computer Engineering Overview The VLSI Design program is part of two tracks in the department:

More information

INTRODUCTION TO DIGITAL SYSTEMS. IMPLEMENTATION: MODULES (ICs) AND NETWORKS IMPLEMENTATION OF ALGORITHMS IN HARDWARE

INTRODUCTION TO DIGITAL SYSTEMS. IMPLEMENTATION: MODULES (ICs) AND NETWORKS IMPLEMENTATION OF ALGORITHMS IN HARDWARE INTRODUCTION TO DIGITAL SYSTEMS 1 DESCRIPTION AND DESIGN OF DIGITAL SYSTEMS FORMAL BASIS: SWITCHING ALGEBRA IMPLEMENTATION: MODULES (ICs) AND NETWORKS IMPLEMENTATION OF ALGORITHMS IN HARDWARE COURSE EMPHASIS:

More information

The Ptolemy Project. Modeling and Design of Reactive Systems. Edward A. Lee Professor. UC Berkeley Dept. of EECS. tektronix.fm

The Ptolemy Project. Modeling and Design of Reactive Systems. Edward A. Lee Professor. UC Berkeley Dept. of EECS. tektronix.fm The Ptolemy Project Modeling and Design of Reactive Systems Edward A. Lee Professor UC Berkeley Dept. of EECS Copyright 1997, The Regents of the University of California All rights reserved. Abstract Ptolemy

More information

Echtzeittesten mit MathWorks leicht gemacht Simulink Real-Time Tobias Kuschmider Applikationsingenieur

Echtzeittesten mit MathWorks leicht gemacht Simulink Real-Time Tobias Kuschmider Applikationsingenieur Echtzeittesten mit MathWorks leicht gemacht Simulink Real-Time Tobias Kuschmider Applikationsingenieur 2015 The MathWorks, Inc. 1 Model-Based Design Continuous Verification and Validation Requirements

More information

Conditional Speculation and its Effects on Performance and Area for High-Level Synthesis

Conditional Speculation and its Effects on Performance and Area for High-Level Synthesis and its Effects on Performance and Area for High-Level Synthesis Sumit Gupta Nick Savoiu Nikil Dutt Rajesh Gupta Alex Nicolau Center for Embedded Computer Systems Dept. of Information and Computer Science

More information

Software Timing Analysis Using HW/SW Cosimulation and Instruction Set Simulator

Software Timing Analysis Using HW/SW Cosimulation and Instruction Set Simulator Software Timing Analysis Using HW/SW Cosimulation and Instruction Set Simulator Jie Liu Department of EECS University of California Berkeley, CA 94720 liuj@eecs.berkeley.edu Marcello Lajolo Dipartimento

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

MS GRADUATE PROGRAM IN COMPUTER ENGINEERING

MS GRADUATE PROGRAM IN COMPUTER ENGINEERING MS GRADUATE PROGRAM IN COMPUTER ENGINEERING INTRODUCTION The increased interaction between computing and communication in recent years is changing the landscape of computer engineering. There is now an

More information

CONTROL SYSTEMS, ROBOTICS, AND AUTOMATION - Vol. XIX - Automation and Control in Electronic Industries - Popovic D.

CONTROL SYSTEMS, ROBOTICS, AND AUTOMATION - Vol. XIX - Automation and Control in Electronic Industries - Popovic D. AUTOMATION AND CONTROL IN ELECTRONIC INDUSTRIES University of Bremen, Germany Keywords: design automation, computer-aided design, rapid prototyping, semiconductors production, process monitoring and control,

More information

Computer Engineering: MS Program Overview, Fall 2013

Computer Engineering: MS Program Overview, Fall 2013 Computer Engineering: MS Program Overview, Fall 2013 Prof. Steven Nowick (nowick@cs.columbia.edu) Chair, (on sabbatical) Prof. Charles Zukowski (caz@columbia.edu) Acting Chair, Overview of Program The

More information

Embedded Systems Engineering Certificate Program

Embedded Systems Engineering Certificate Program Engineering Programs Embedded Systems Engineering Certificate Program Accelerate Your Career extension.uci.edu/embedded University of California, Irvine Extension s professional certificate and specialized

More information

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw Feb. 2013 Course Overview

More information

A Petri Net Model for Hardware/Software Codesign

A Petri Net Model for Hardware/Software Codesign Design Automation for Embedded Systems, 4, 243 310 (1999) c 1999 Kluwer Academic Publishers, Boston. Manufactured in The Netherlands. A Petri Net Model for Hardware/Software Codesign PAULO MACIEL Departamento

More information

System-Level Co-design of Heterogeneous Multiprocessor Embedded Systems

System-Level Co-design of Heterogeneous Multiprocessor Embedded Systems POLITECNICO DI MILANO Dipartimento di Elettronica e Informazione System-Level Co-design of Heterogeneous Multiprocessor Embedded Systems Progettazione concorrente hw/sw di sistemi dedicati multiprocessore

More information

FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL

FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL B. Dilip, Y. Alekhya, P. Divya Bharathi Abstract Traffic lights are the signaling devices used to manage traffic on multi-way

More information

FACULTY OF POSTGRADUATESTUDIES Master of Science in Computer Engineering The Future University

FACULTY OF POSTGRADUATESTUDIES Master of Science in Computer Engineering The Future University FACULTY OF POSTGRADUATESTUDIES Master of Science in Computer Engineering The Future University 2 Table of Contents: Page I. Introduction 1 II. Philosophy of the Program 2 III. Aims of the Program 2 IV.

More information

How To Test The Performance Of Different Communication Architecture On A Computer System

How To Test The Performance Of Different Communication Architecture On A Computer System Evaluation of the Traffic-Performance Characteristics of System-on-Chip Communication Architectures Kanishka Lahiri Dept. of ECE UC San Diego klahiri@ece.ucsd.edu Anand Raghunathan NEC USA C&C Research

More information

Floating Point Fused Add-Subtract and Fused Dot-Product Units

Floating Point Fused Add-Subtract and Fused Dot-Product Units Floating Point Fused Add-Subtract and Fused Dot-Product Units S. Kishor [1], S. P. Prakash [2] PG Scholar (VLSI DESIGN), Department of ECE Bannari Amman Institute of Technology, Sathyamangalam, Tamil Nadu,

More information

A Software Framework for Efficient System-level Performance Evaluation of Embedded Systems

A Software Framework for Efficient System-level Performance Evaluation of Embedded Systems A Software Framework for Efficient System-level Performance Evaluation of Embedded Systems Joseph E. Coffland Andy D. Pimentel Dept. of Computer Science University of Amsterdam Kruislaan 403, 1098 SJ,

More information

Planning and Scheduling in Manufacturing and Services

Planning and Scheduling in Manufacturing and Services Michael L. Pinedo Planning and Scheduling in Manufacturing and Services Second edition 4y Springer Preface Contents of CD-ROM vii xvii Part I Preliminaries 1 Introduction 3 1.1 Planning and Scheduling:

More information

Decomposition of Finite State Machines for Area, Delay Minimization

Decomposition of Finite State Machines for Area, Delay Minimization Decomposition of Finite State Machines for Area, Delay Minimization Rupesh S. Shelar, Madhav P. Desai, H. Narayanan Department of Electrical Engineering, Indian Institute of Technology, Bombay Mumbai 400

More information

9700 South Cass Avenue, Lemont, IL 60439 URL: www.mcs.anl.gov/ fulin

9700 South Cass Avenue, Lemont, IL 60439 URL: www.mcs.anl.gov/ fulin Fu Lin Contact information Education Work experience Research interests Mathematics and Computer Science Division Phone: (630) 252-0973 Argonne National Laboratory E-mail: fulin@mcs.anl.gov 9700 South

More information

Hierarchical Performance Modeling for Distributed System Architectures *

Hierarchical Performance Modeling for Distributed System Architectures * Hierarchical Performance Modeling for Distributed System Architectures * D. Smarkusky, R. Ammar, I. Antonios and H. Sholl Computer Science and Engineering Department 191 Auditorium Road, Box U-3155 The

More information

Workshop on Post-silicon Debug: Technologies, Methodologies, and Best Practices

Workshop on Post-silicon Debug: Technologies, Methodologies, and Best Practices Wisam Kadry IBM Research, Haifa 7 June 2012 Workshop on Post-silicon Debug: Technologies, Methodologies, and Best Practices DAC 2012, Post-silicon Debug Workshop Thanks to Mr. Amir Nahir IBM Research Haifa,

More information

Fast Boolean Factoring with Multi-Objective Goals A.I.Reis Nangate Inc Sunnyvale CA USA 94089-1321

Fast Boolean Factoring with Multi-Objective Goals A.I.Reis Nangate Inc Sunnyvale CA USA 94089-1321 Fast Boolean Factoring with Multi-Objective Goals A.I.Reis Nangate Inc Sunnyvale CA USA 94089-1321 are@nangate.com A.B.Rasmussen Nangate Inc Sunnyvale CA USA 94089-1321 abr@nangate.com L.S.Rosa Jr. PGMICRO

More information

NIOS II Based Embedded Web Server Development for Networking Applications

NIOS II Based Embedded Web Server Development for Networking Applications NIOS II Based Embedded Web Server Development for Networking Applications 1 Sheetal Bhoyar, 2 Dr. D. V. Padole 1 Research Scholar, G. H. Raisoni College of Engineering, Nagpur, India 2 Professor, G. H.

More information

Silicon Valley University Doctor of Computer Engineering (DCE) Program Outline and Study Plan

Silicon Valley University Doctor of Computer Engineering (DCE) Program Outline and Study Plan Silicon Valley University Doctor of Computer Engineering (DCE) Program Outline and Study Plan DCE Program Outline DCE Curriculum: Minimum 108 semester credit hours of graduate study: (a). 96 credit hours

More information

3D innovations: From design to reliable systems

3D innovations: From design to reliable systems 3D innovations: From design to reliable systems Uwe Knöchel, Andy Heinig Fraunhofer IIS, Design Automation Division Zeunerstraße 38, 01069 Dresden uwe.knoechel@eas.iis.fraunhofer.de Phone: +49 351 4640

More information

Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications

Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications Renshen Wang, Evangeline Young, Ronald Graham and Chung-Kuan Cheng University of California, San Diego, La Jolla, CA

More information

dlbsim -AParallel Functional Logic Simulator Allowing Dynamic Load Balancing

dlbsim -AParallel Functional Logic Simulator Allowing Dynamic Load Balancing Published: Proc. of DATE 01, S. 472-478, IEEE Press, 1. dlbsim -AParallel Functional Logic Simulator Allowing Dynamic Load Balancing Klaus Hering Chemnitz University of Technology Department of Computer

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton Dept. of Electrical and Computer Engineering University of British Columbia bradq@ece.ubc.ca

More information

Introduction to HW/SW Co-Design of Embedded Systems

Introduction to HW/SW Co-Design of Embedded Systems Introduction to HW/SW Co-Design of Embedded Systems Prof. Cristina SILVANO Politecnico di Milano Dipartimento di Elettronica e Informazione P.za L. Da Vinci 32, I-20133 Milano (Italy) Ph.: +39-02-2399-3692

More information

A Detailed Cost Model for Concurrent Use With Hardware/Software Co-Design

A Detailed Cost Model for Concurrent Use With Hardware/Software Co-Design A Detailed Cost Model for Concurrent Use With Hardware/Software Co-Design Daniel Ragan Elect. Systems Cost Modeling Lab. University of Maryland College Park, MD 20742 danragan@wam.umd.edu Peter Sandborn

More information

Rapid Prototyping and Deployment of User-to-User Networked Applications

Rapid Prototyping and Deployment of User-to-User Networked Applications Rapid Prototyping and Deployment of User-to-User Networked Applications Wan-Teh Chang Department of Electrical Engineering and Computer Sciences University of California at Berkeley DSP SEMINAR, 3 MAY

More information

Scienzedel'Educazione,FacoltàdiScienzedelaFormazione,UniversitàdegliStudi"RomaTre".

Scienzedel'Educazione,FacoltàdiScienzedelaFormazione,UniversitàdegliStudiRomaTre. CURRICULUMVITAE DATIPERSONALIEPOSIZIONEACCADEMICA EnricoTerinoni,Professoreassociatopressol UniversitàperStranieridiPerugiadal28/01/2011,inquadratonel setorel-lin/10 LeteraturaInglese STUDIEFORMAZIONE

More information

SECOND YEAR. Major Subject 3 Thesis (EE 300) 3 Thesis (EE 300) 3 TOTAL 3 TOTAL 6. MASTER OF ENGINEERING IN ELECTRICAL ENGINEERING (MEng EE) FIRST YEAR

SECOND YEAR. Major Subject 3 Thesis (EE 300) 3 Thesis (EE 300) 3 TOTAL 3 TOTAL 6. MASTER OF ENGINEERING IN ELECTRICAL ENGINEERING (MEng EE) FIRST YEAR MASTER OF SCIENCE IN ELECTRICAL ENGINEERING (MS EE) FIRST YEAR Elective 3 Elective 3 Elective 3 Seminar Course (EE 296) 1 TOTAL 12 TOTAL 10 SECOND YEAR Major Subject 3 Thesis (EE 300) 3 Thesis (EE 300)

More information

USTC Course for students entering Clemson F2013 Equivalent Clemson Course Counts for Clemson MS Core Area. CPSC 822 Case Study in Operating Systems

USTC Course for students entering Clemson F2013 Equivalent Clemson Course Counts for Clemson MS Core Area. CPSC 822 Case Study in Operating Systems USTC Course for students entering Clemson F2013 Equivalent Clemson Course Counts for Clemson MS Core Area 398 / SE05117 Advanced Cover software lifecycle: waterfall model, V model, spiral model, RUP and

More information

High-Mix Low-Volume Flow Shop Manufacturing System Scheduling

High-Mix Low-Volume Flow Shop Manufacturing System Scheduling Proceedings of the 14th IAC Symposium on Information Control Problems in Manufacturing, May 23-25, 2012 High-Mix Low-Volume low Shop Manufacturing System Scheduling Juraj Svancara, Zdenka Kralova Institute

More information

An Efficient Fault Tolerance Model for Path Recovery in MPLS Networks

An Efficient Fault Tolerance Model for Path Recovery in MPLS Networks An Efficient Fault Tolerance Model for Path Recovery in MPLS Networks Arunkumar C K M.Tech student, Dept. of ECE, Dayananda Sagar College of Engineering, VTU, Banglore, India ABSTRACT: Increasing demand

More information

A STUDY OF TASK SCHEDULING IN MULTIPROCESSOR ENVIROMENT Ranjit Rajak 1, C.P.Katti 2, Nidhi Rajak 3

A STUDY OF TASK SCHEDULING IN MULTIPROCESSOR ENVIROMENT Ranjit Rajak 1, C.P.Katti 2, Nidhi Rajak 3 A STUDY OF TASK SCHEDULING IN MULTIPROCESSOR ENVIROMENT Ranjit Rajak 1, C.P.Katti, Nidhi Rajak 1 Department of Computer Science & Applications, Dr.H.S.Gour Central University, Sagar, India, ranjit.jnu@gmail.com

More information

Curriculum Vitae. Jie-Hong Roland Jiang. Formal verification, logic synthesis, quantum computation, gene regulatory network analysis

Curriculum Vitae. Jie-Hong Roland Jiang. Formal verification, logic synthesis, quantum computation, gene regulatory network analysis Curriculum Vitae Jie-Hong Roland Jiang Home Address No. 8-1, Ln. 299, Chungshan Rd. Touliu 640, Yunlin County, Taiwan Voice: +886-5-532-9120 University Address Room 242, EE-II Building Department of Electrical

More information

High-Level Synthesis for FPGA Designs

High-Level Synthesis for FPGA Designs High-Level Synthesis for FPGA Designs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer consultant Cereslaan 10b 5384 VT Heesch

More information

Phase Balancing of Distribution Systems Using a Heuristic Search Approach

Phase Balancing of Distribution Systems Using a Heuristic Search Approach Phase Balancing of Distribution Systems Using a Heuristic Search Approach Lin, Chia-Hung*, Kang, Meei-Song**, Chuang, Hui-Jen**, and Ho, Chin-Ying** *National Kaohsiung University of Applied Sciences **Kao

More information

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU ESE566 REPORT3 Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU Nov 19th, 2002 ABSTRACT: In this report, we discuss several recent published papers on design methodologies of core-based

More information

On how to efficiently exploit reconfiguration aspects from your design! The FASTER tool chain!

On how to efficiently exploit reconfiguration aspects from your design! The FASTER tool chain! On how to efficiently exploit reconfiguration aspects from your design! The FASTER tool chain! Parallel and Pervasive Computing Week 2014! August 29, 2014, Milano, Italy! Dirk Stroobandt, Dirk.Stroobandt@UGent.be!

More information

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation Testing & Verification of Digital Circuits ECE/CS 5745/6745 Hardware Verification using Symbolic Computation Instructor: Priyank Kalla (kalla@ece.utah.edu) 3 Credits Mon, Wed, 1:25-2:45pm, WEB L105 Office

More information

Reconfigurable Architecture Requirements for Co-Designed Virtual Machines

Reconfigurable Architecture Requirements for Co-Designed Virtual Machines Reconfigurable Architecture Requirements for Co-Designed Virtual Machines Kenneth B. Kent University of New Brunswick Faculty of Computer Science Fredericton, New Brunswick, Canada ken@unb.ca Micaela Serra

More information

Extending Platform-Based Design to Network on Chip Systems

Extending Platform-Based Design to Network on Chip Systems Extending Platform-Based Design to Network on Chip Systems Juha-Pekka Soininen 1, Axel Jantsch 2, Martti Forsell 1, Antti Pelkonen 1, Jari Kreku 1, and Shashi Kumar 2 1 VTT Electronics (Technical Research

More information

Synthesis of Low-Power Selectively- Clocked Systems from High-Level Specification

Synthesis of Low-Power Selectively- Clocked Systems from High-Level Specification Synthesis of Low-Power Selectively- Clocked Systems from High-Level Specification L. BENINI Università di Bologna and G. DE MICHELI Stanford University We propose a technique for synthesizing low-power

More information

Extending the Power of FPGAs. Salil Raje, Xilinx

Extending the Power of FPGAs. Salil Raje, Xilinx Extending the Power of FPGAs Salil Raje, Xilinx Extending the Power of FPGAs The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Development Agenda The Evolution of

More information

NATIONAL SUN YAT-SEN UNIVERSITY

NATIONAL SUN YAT-SEN UNIVERSITY NATIONAL SUN YAT-SEN UNIVERSITY Department of Electrical Engineering (Master s Degree, Doctoral Program Course, International Master's Program in Electric Power Engineering) Course Structure Course Structures

More information

Paolo Maistri. September 8, 2008. Personal Information 2. Education and Studies 2. Academic Activities and Affiliations 3

Paolo Maistri. September 8, 2008. Personal Information 2. Education and Studies 2. Academic Activities and Affiliations 3 CURRICULUM VITAE ET STUDIORUM Paolo Maistri September 8, 2008 TABLE OF CONTENTS Personal Information 2 Education and Studies 2 Academic Activities and Affiliations 3 Teaching Activities 4 Teaching Assistance......................................

More information

PyMTL and Pydgin Tutorial. Python Frameworks for Highly Productive Computer Architecture Research

PyMTL and Pydgin Tutorial. Python Frameworks for Highly Productive Computer Architecture Research PyMTL and Pydgin Tutorial Python Frameworks for Highly Productive Computer Architecture Research Derek Lockhart, Berkin Ilbeyi, Christopher Batten Computer Systems Laboratory School of Electrical and Computer

More information

Modeling Shared Resource Contention Using a Hybrid Simulation/Analytical Approach

Modeling Shared Resource Contention Using a Hybrid Simulation/Analytical Approach Modeling Shared Resource Contention Using a Hybrid Simulation/Analytical Approach Alex Bobrek Joshua J. Pieper Jeffrey E. Nelson JoAnn M. Paul Donald E. Thomas Electrical and Computer Engineering Department

More information

COMPUTER ENGINEERING DEGREE FOCUS AREAS GUIDE 2006-2007

COMPUTER ENGINEERING DEGREE FOCUS AREAS GUIDE 2006-2007 COMPUTER ENGINEERING DEGREE FOCUS AREAS GUIDE 2006-2007 UNIVERSITY OF MINNESOTA, MINNEAPOLIS 13 August 2006 COMPUTER ENGINEERING DEGREE FOCUS AREAS GUIDE TABLE OF CONTENTS Revised 13 August 2006 SECTION

More information

MAJORS: Computer Engineering, Computer Science, Electrical Engineering

MAJORS: Computer Engineering, Computer Science, Electrical Engineering Qualcomm MAJORS: Computer Engineering, Computer Science, Electrical Engineering TITLE: Intern - Software Engineer - Summer 2012 JOB DESCRIPTION: G1889814 Job Title Intern - Software Engineer - Summer 2012

More information

Martino Sykora CURRICULUM VITAE ET STUDIORUM

Martino Sykora CURRICULUM VITAE ET STUDIORUM Martino Sykora CURRICULUM VITAE ET STUDIORUM Via L. Pasteur, 15 20127 Milano Italy Mob: +39 338 1983694 Mail: martino.sykora@gmail.com Personal Information Birthdate: June 15th, 1978 Birthplace: Basel,

More information

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS WWW.VONBRAUNLABS.COM Issue #1 VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS State Machine Technology IoT Solutions Learn

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Designing and Embodiment of Software that Creates Middle Ware for Resource Management in Embedded System

Designing and Embodiment of Software that Creates Middle Ware for Resource Management in Embedded System , pp.97-108 http://dx.doi.org/10.14257/ijseia.2014.8.6.08 Designing and Embodiment of Software that Creates Middle Ware for Resource Management in Embedded System Suk Hwan Moon and Cheol sick Lee Department

More information