Johnson Counter. Introduction. ISE Version: 9.2i or 10.1i. Objective

Similar documents
Xilinx ISE. <Release Version: 10.1i> Tutorial. Department of Electrical and Computer Engineering State University of New York New Paltz

Lab 1: Introduction to Xilinx ISE Tutorial

Using Xilinx ISE for VHDL Based Design

EXPERIMENT 8. Flip-Flops and Sequential Circuits

Digital Circuit Design Using Xilinx ISE Tools

ISE In-Depth Tutorial 10.1

Lab 1: Full Adder 0.0

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

1. Downloading. 2. Installation and License Acquiring. Xilinx ISE Webpack + Project Setup Instructions

No serious hazards are involved in this laboratory experiment, but be careful to connect the components with the proper polarity to avoid damage.

Modeling Registers and Counters

The 104 Duke_ACC Machine

RTL Technology and Schematic Viewers

Authorware Install Directions for IE in Windows Vista, Windows 7, and Windows 8

ISE In-Depth Tutorial. UG695 (v14.1) April 24, 2012

STEP 7 MICRO/WIN TUTORIAL. Step-1: How to open Step 7 Micro/WIN

Start Active-HDL by double clicking on the Active-HDL Icon (windows).

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa

Quartus II Introduction for VHDL Users

Rotary Encoder Interface for Spartan-3E Starter Kit

Finite State Machine Design A Vending Machine

VHDL Test Bench Tutorial

After opening the Programs> Xilinx ISE 8.1i > Project Navigator, you will come to this screen as start-up.

Asynchronous counters, except for the first block, work independently from a system clock.

Lab View with crio Tutorial. Control System Design Feb. 14, 2006

Modeling Latches and Flip-flops

Lesson 1 - Creating a Project

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev Key Design Features. Block Diagram. Generic Parameters.

Jump-Start Tutorial For ProcessModel

5. Tutorial. Starting FlashCut CNC

LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters

To design digital counter circuits using JK-Flip-Flop. To implement counter using 74LS193 IC.

TRILOGI 5.3 PLC Ladder Diagram Programmer and Simulator. A tutorial prepared for IE 575 by Dr. T.C. Chang. Use On-Line Help

Physics 226 FPGA Lab #1 SP Wakely. Terasic DE0 Board. Getting Started

CHAPTER 11 LATCHES AND FLIP-FLOPS

Online Backup and Recovery Manager Setup for Microsoft Windows.

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

Two's Complement Adder/Subtractor Lab L03

Vivado Design Suite Tutorial

CLEARONE DOCUMENT (REVISION 1.0) October, with Converge Pro Units

Design and Implementation of Vending Machine using Verilog HDL

Software Version 10.0d Mentor Graphics Corporation All rights reserved.

ModelSim-Altera Software Simulation User Guide

LogiCORE IP AXI Performance Monitor v2.00.a

INF-USB2 and SI-USB Quick Start Guide

Lab: Data Backup and Recovery in Windows XP

Mentor Tools tutorial Bold Browser Design Manager Design Architect Library Components Quicksim Creating and Compiling the VHDL Model.

Digital Fundamentals. Lab 8 Asynchronous Counter Applications

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview

FPGA Synthesis Example: Counter

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

The service note describes the basic steps to install a ip camera for the DVR670

Downloading Driver Files

Lab - Data Backup and Recovery in Windows XP

IBM Business Monitor V8.0 Global monitoring context lab

Installation / Backup \ Restore of a Coffalyser.Net server database using SQL management studio

Quartus II Introduction Using VHDL Design

How to share media files through Windows Media Player 11

Application. 1.1 About This Tutorial Tutorial Requirements Provided Files

Installing a Browser Security Certificate for PowerChute Business Edition Agent

Downloading Driver Files

Guru Ghasidas Vishwavidyalaya, Bilaspur (C.G.) Institute of Technology. Electronics & Communication Engineering. B.

Jianjian Song LogicWorks 4 Tutorials (5/15/03) Page 1 of 14

SysAid Remote Discovery Tool

Virtual Office Remote Installation Guide

SDLC Controller. Documentation. Design File Formats. Verification

Getting Started Using Mentor Graphic s ModelSim

CHAPTER 11: Flip Flops

How to Disable Common Pop-Up Blockers

Kodak Asset Management Software Client Module

Module 3: Floyd, Digital Fundamental

Installing S500 Power Monitor Software and LabVIEW Run-time Engine

Tutorial: Configuring GOOSE in MiCOM S1 Studio 1. Requirements

1. Tutorial Overview

EXPERIMENT 4. Parallel Adders, Subtractors, and Complementors

ChipScope Pro Tutorial

Design Example: Counters. Design Example: Counters. 3-Bit Binary Counter. 3-Bit Binary Counter. Other useful counters:

System update procedure for Kurio 7 (For build number above 110)

Installing LearningBay Enterprise Part 2

3. Programming the STM32F4-Discovery

Chapter 7. Registers & Register Transfers. J.J. Shann. J. J. Shann

Packet Tracer 3 Lab VLSM 2 Solution

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

To create a dynamic report that will show a history of IRA contributions organized by account -

EDK Concepts, Tools, and Techniques

ECE232: Hardware Organization and Design. Part 3: Verilog Tutorial. Basic Verilog

Excel Tutorial. Bio 150B Excel Tutorial 1

DIGITAL COUNTERS. Q B Q A = 00 initially. Q B Q A = 01 after the first clock pulse.

An Introduction to MPLAB Integrated Development Environment

Introduction. Office of Web and New Media Missouri State University 901 S. National Ave. Springfield, MO 65897

PCB Project (*.PrjPcb)

How to use AVR Studio for Assembler Programming

ACP ThinManager Tech Notes ThinManager and PXE Boot

Firmware & Software update procedures Amicroe TouchTAB IV.

Using Altera MAX Series as Microcontroller I/O Expanders

Operational Decision Manager Worklight Integration

Deposit Direct. Getting Started Guide

LatticeXP2 Configuration Encryption and Security Usage Guide

Using Flow Control with the HEAD Recorder

Transcription:

Johnson Counter Introduction The goal is to design a 4-bit Johnson counter with stop control that can count bidirectional, depending on the setting of the control inputs RIGHT and LEFT using the schematics mode of design entry on Spartan 3E Board. ISE Version: 9.2i or 10.1i Objective The purpose of this lab is: 1. To get familiar with the flip-flops. 2. To design an up/down Johnson counter using D flip-flops. 3. To implement the counter using Xilinx FPGA board. 4. To experimentally check the operation of the counter. The counter has an asynchronous reset (or clear) input which brings the outputs to 0 as soon as the RESET signal is asserted. The counter counts at the negative edge of the clock. When the RIGHT input is high, the counter counts in one direction and when LEFT input is high, it counts in the other direction, as shown in the state transition diagram. Counter should stop at a particular count when STOP input is high. Figure 1: State transition diagram for up/down counter. 1

Process 1. Create project using ISE 9.2i/10.1i 2. Test behavior of the sequence detector using Xilinx ISE. 3. Configure FPGA with the sequence detector 4. Test behavior of sequence detector on the Spartan 3E starter board Implementation 1. Open ISE Project Navigator. If a project is already open, go to the File menu and select Close Project. Now under the File menu select New Project. ISE will launch the New Project Wizard. In the Create New Project window under Project Name: enter your project name. Under Project Location click the button with the three dots and navigate to where you want the project to be located. Under Top-Level Source Type: make sure HDL is selected and then click Next>. 2. In the Device Properties window copy the settings from figure 3 and then click Next>. Figure 2 New Project Wizard - Device Properties settings. 3. Click Next> on the Create New Source window. Click Next>. 4. Click Ok and click Finish on the Project Summary window. You have created the project and in the workspace window of ISE you should see a project summary. You can close the project summary by going under the File menu and selecting Close. 2

5. In the Sources window, right click on the small box with the - symbol and click new source to open a new Schematic design entry in your project. Design your schematic here using symbols and save it. 6. After saving your project click Synthesis in the process window. Once synthesis is done successfully. Next step is to check the functionality of your design. 7. Right click on your project file and click new source, add test bench waveform and associate it with your design file. This will open a waveform associated with your design. Force the valid input values and save it. 8. Go to the pulldown menu in the Sources window and select Behavioral Simulation. Figure 3 Pull down menu in Sources window. 9. Highlight the.tbw file in the Sources window by clicking on it. In the Processes window, click on the small box with the + symbol that is next to the Xilinx ISE Simulator toolbox and then double click Simulate Behavioral Model to start the simulation. When you are done, close Simulation Window. 10. Go to the Source window pulldown menu and select Synthesis/Implementation and then click on source file (VHDL Design File) to highlight it. In the Processes window expand the User Constraints toolbox and double click Edit Constraints (Text). This will open.ucf in the ISE workspace. 3

Figure 4 Opening the UCF file. The user constraints file has been notated to show what board features have been connected to the inputs and outputs of VHDL Design File. Figure 5 The UCF file displayed in the ISE workspace. 11. It is time to program the Spartan 3E board. In the Processes window you have to run the Synthesize - XST, Implement Design, and Generate Programming File processes. Instead of doing each one separately, you can double click on Generate Programming File. This will run all the processes. 4

Figure 6 Running processes As the processes finish running they will be marked with a green checkmark to indicate no problems were encountered. The Implement Design process may generate warnings (yellow triangle with an exclamation point), warnings can be ignored. 12. Connect the Spartan 3E board to the computer and turn the board s power on. Expand the \ Generate Programming File process and double click on Configure Device (impact). This will launch the impact program. Click Finish on the Welcome to impact window. Figure 7 Starting impact impact will perform a boundary scan and will display three devices in the ISE workspace. Pictures of Xilinx IC packages represent the devices. In figure 9 you can see that no files are associated with the packages. 5

Figure 8 Devices shown in boundary scan You have to assign the.bit file to the Spartan 3E s FPGA. The FPGA is represented in the workspace by the picture of the Xilinx package labeled xc3s500e. The package should already be highlighted (as in figure 9). Click on top_sequence.bit in the Assign New Configuration File window and then click the Open button. The file is now associated with the FPGA and the next device is highlighted. Figure 9 Assigning *.bit file to xc3s500e. Click Bypass for the next two devices since we are not programming them and then click on an empty area inside the ISE workspace. Now right click on the xc3s500e and select Program from the drop down menu. 6

Figure 10 Programming the FPGA. Click Ok on the Programming Properties window (nothing needs to be selected for this tutorial). After the FPGA is programmed a Program Succeeded message will be displayed in the ISE workspace and a yellow LED will show the Spartan 3E has been configured. 13. The Spartan 3E is programmed as a sequence detector. The board will hold this program until the power is turned off, the reset button near the yellow LED is pressed, or you reprogram the board. 7