Chapter 11 Current Programmed Control

Size: px
Start display at page:

Download "Chapter 11 Current Programmed Control"

Transcription

1 Chapter 11 Current Programmed Control Buck converter v g i s Q 1 D 1 L i L C v R The peak transistor current replaces the duty cycle as the converter control input. Measure switch current R f i s Clock 0 T s Control signal i c i s R f i c R f Analog comparator S Q R Latch m 1 Switch current i s Control input Current-programmed controller Transistor status: 0 dt s T s on off t Compensator v Clock turns transistor on Comparator turns transistor off v ref Conventional output voltage controller Fundamentals of Power Electronics 1 Chapter 11: Current Programmed Control

2 Current programmed control vs. duty cycle control Advantages of current programmed control: Simpler dynamics inductor pole is moved to high frequency Simple robust output voltage control, with large phase margin, can be obtained without use of compensator lead networks It is always necessary to sense the transistor current, to protect against overcurrent failures. We may as well use the information during normal operation, to obtain better control Transistor failures due to excessive current can be prevented simply by limiting i c Transformer saturation problems in bridge or push-pull converters can be mitigated A disadvantage: susceptibility to noise Fundamentals of Power Electronics 2 Chapter 11: Current Programmed Control

3 Chapter 11: Outline 11.1 Oscillation for D > A simple first-order model Simple model via algebraic approach Averaged switch modeling 11.3 A more accurate model Current programmed controller model: block diagram CPM buck converter example 11.4 Discontinuous conduction mode 11.5 Summary Fundamentals of Power Electronics 3 Chapter 11: Current Programmed Control

4 11.1 Oscillation for D > 0.5 The current programmed controller is inherently unstable for D > 0.5, regardless of the converter topology Controller can be stabilized by addition of an artificial ramp Objectives of this section: Stability analysis Describe artificial ramp scheme Fundamentals of Power Electronics 4 Chapter 11: Current Programmed Control

5 Inductor current waveform, CCM Inductor current slopes m 1 and m 2 i L i c i L (0) m1 i L (T s ) m 2 buck converter m 1 = v g v m L 2 = L v boost converter m 1 = v g m L 2 = v g v L buckboost converter 0 dt s T s t m 1 = v g L m 2 = v L Fundamentals of Power Electronics 5 Chapter 11: Current Programmed Control

6 Steady-state inductor current waveform, CPM First interval: i L i L (dt s )=i c =i L (0) m 1 dt s Solve for d: d = i c i L (0) m 1 T s Second interval: i L (T s )=i L (dt s )m 2 d't s =i L (0) m 1 dt s m 2 d't s In steady state: 0=M 1 DT s M 2 D'T s M 2 M 1 = D D' i c i L (0) m1 i L (T s ) m 2 0 dt s T s t Fundamentals of Power Electronics 6 Chapter 11: Current Programmed Control

7 Perturbed inductor current waveform i L i c I L0 i L (0) m 1 i L (0) m 2 m 1 I L0 dt s i L (T s ) m 2 Steady-state waveform Perturbed waveform 0 D d T s DT s T s t Fundamentals of Power Electronics 7 Chapter 11: Current Programmed Control

8 Change in inductor current perturbation over one switching period magnified view i c m 1 i L (0) i L (T s ) m 2 Steady-state waveform m 1 dt s m 2 Perturbed waveform i L (0) = m 1 dt s i L (T s )=m 2 dt s i L (T s )=i L (0) D D' i L (T s )=i L (0) m 2 m 1 Fundamentals of Power Electronics 8 Chapter 11: Current Programmed Control

9 Change in inductor current perturbation over many switching periods i L (T s )=i L (0) D D' i L (2T s )=i L (T s ) D D' = i L (0) D D' 2 i L (nt s )=i L ((n 1)T s ) D D' = i L (0) D D' n i L (nt s ) 0 when D D' when D D' <1 >1 For stability: D< 0.5 Fundamentals of Power Electronics 9 Chapter 11: Current Programmed Control

10 Example: unstable operation for D = 0.6 α = D D' = = 1.5 i L i c I L0 i L (0) 1.5i L (0) 2.25i L (0) 3.375i L (0) 0 T s 2T s 3T s 4T s t Fundamentals of Power Electronics 10 Chapter 11: Current Programmed Control

11 Example: stable operation for D = 1/3 i L α = D D' = 1/3 2/3 = 0.5 i c I L0 i L (0) 1 2 i L(0) 1 4 i L(0) 1 8 i L(0) 1 16 i L(0) 0 T s 2T s 3T s 4T s t Fundamentals of Power Electronics 11 Chapter 11: Current Programmed Control

12 Stabilization via addition of an artificial ramp to the measured switch current waveform Buck converter i s L i L i a Q 1 v g D 1 C v R m a Measure switch current i s i s R f R f m a i a R f Artificial ramp Clock 0 T s S Q 0 T s 2T s Now, transistor switches off when i a (dt s )i L (dt s )=i c t i c R f Analog comparator R Latch or, i L (dt s )=i c i a (dt s ) Control input Current-programmed controller Fundamentals of Power Electronics 12 Chapter 11: Current Programmed Control

13 Steady state waveforms with artificial ramp i L (dt s )=i c i a (dt s ) (i c i a ) i c i L m a m 1 I L0 m 2 0 dt s T s t Fundamentals of Power Electronics 13 Chapter 11: Current Programmed Control

14 Stability analysis: perturbed waveform (i c i a ) i c I L0 i L (0) m 1 i L (0) i L(T s ) m a m 2 m 1 m 2 I L0 dt s Steady-state waveform Perturbed waveform 0 D d T s DT s T s t Fundamentals of Power Electronics 14 Chapter 11: Current Programmed Control

15 Stability analysis: change in perturbation over complete switching periods First subinterval: i L (0) = dt s m 1 m a Second subinterval: i L (T s )=dt s m a m 2 Net change over one switching period: i L (T s )=i L (0) m 2 m a m 1 m a After n switching periods: i L (nt s )=i L ((n 1)T s ) m 2m a m 1 m a Characteristic value: α = m 2m a m 1 m a i L (nt s ) = i L (0) m 2 m a m 1 m a 0 when α <1 when α >1 n = il (0) α n Fundamentals of Power Electronics 15 Chapter 11: Current Programmed Control

16 The characteristic value α For stability, require α < 1 Buck and buck-boost converters: m 2 = v/l So if v is well-regulated, then m 2 is also well-regulated A common choice: m a = 0.5 m 2 This leads to α = 1 at D = 1, and α < 1 for 0 D < 1. The minimum α that leads to stability for all D. Another common choice: m a = m 2 This leads to α = 0 for 0 D < 1. Deadbeat control, finite settling time α = 1m a m 2 D' D m a m 2 Fundamentals of Power Electronics 16 Chapter 11: Current Programmed Control

17 11.2 A Simple First-Order Model Switching converter v g R v d Current programmed controller Converter voltages and currents i c Compensator v v ref Fundamentals of Power Electronics 17 Chapter 11: Current Programmed Control

18 The first-order approximation i L Ts = i c Neglects switching ripple and artificial ramp Yields physical insight and simple first-order model Accurate when converter operates well into CCM (so that switching ripple is small) and when the magnitude of the artificial ramp is not too large Resulting small-signal relation: i L (s) i c (s) Fundamentals of Power Electronics 18 Chapter 11: Current Programmed Control

19 Simple model via algebraic approach: CCM buck-boost example Q 1 D 1 v g i L L C R v i L i c v g L v L 0 dt s T s t Fundamentals of Power Electronics 19 Chapter 11: Current Programmed Control

20 Small-signal equations of CCM buckboost, duty cycle control L di L dt C dv dt = Dv g D'v V g V d =D'i L v R I Ld i g =Di L I L d Derived in Chapter 7 Fundamentals of Power Electronics 20 Chapter 11: Current Programmed Control

21 Transformed equations Take Laplace transform, letting initial conditions be zero: sli L (s)=dv g (s)d'v(s) V g V d(s) scv(s)=d'i L (s) v(s) R I Ld(s) i g (s)=di L (s)i L d(s) Fundamentals of Power Electronics 21 Chapter 11: Current Programmed Control

22 The simple approximation Now let i L (s) i c (s) Eliminate the duty cycle (now an intermediate variable), to express the equations using the new control input i L. The inductor equation becomes: sli c (s) Dv g (s)d'v(s) V g V d(s) Solve for the duty cycle variations: d(s)= sli c(s)dv g (s)d'v(s) V g V Fundamentals of Power Electronics 22 Chapter 11: Current Programmed Control

23 The simple approximation, continued Substitute this expression to eliminate the duty cycle from the remaining equations: scv(s)=d'i c (s) v(s) R I L sli c (s)dv g (s)d'v(s) V g V i g (s)=di c (s)i L sli c (s)dv g (s)d'v(s) V g V Collect terms, simplify using steady-state relations: scv(s)= sld D'R D' i c(s) D R 1 R v(s) i g (s)= sld D'R D i c(s) D R v(s) D2 D'R v g(s) D2 D'R v g(s) Fundamentals of Power Electronics 23 Chapter 11: Current Programmed Control

24 Construct equivalent circuit: input port i g (s)= sld D'R D i c(s) D R v(s) D2 D'R v g(s) i g v g D'R D 2 D 2 D'R v g D 1 sl D'R i c D R v Fundamentals of Power Electronics 24 Chapter 11: Current Programmed Control

25 Construct equivalent circuit: output port scv(s)= sld D'R D' i c(s) D R 1 R v(s) D2 D'R v g(s) Node D 2 D'R v g D' 1 sld D' 2 R i c R D D R v scv C v R R Fundamentals of Power Electronics 25 Chapter 11: Current Programmed Control

26 CPM Canonical Model, Simple Approximation i g v g r 1 f 1 (s) i c g 1 v g 2 v g f 2 (s) i c r 2 C R v Fundamentals of Power Electronics 26 Chapter 11: Current Programmed Control

27 Table of results for basic converters Table 11.1 Current programmed mode small-signal equivalent circuit parameters, simple model Converter g 1 f 1 r 1 g 2 f 2 r 2 Buck D R D 1 sl R R D Boost D'R D' 1 sl D' 2 R R Buck-boost D R D 1 sl D'R D'R D 2 D2 D'R D' 1 sdl D' 2 R R D Fundamentals of Power Electronics 27 Chapter 11: Current Programmed Control

28 Transfer functions predicted by simple model i g v g r 1 f 1 (s) i c g 1 v g 2 v g f 2 (s) i c r 2 C R v Control-to-output transfer function G vc (s)= v(s) i c (s) vg =0 = f 2 r 2 R 1 sc Result for buck-boost example G vc (s)=r D' 1D 1s DL D' 2 R 1s RC 1D Fundamentals of Power Electronics 28 Chapter 11: Current Programmed Control

29 Transfer functions predicted by simple model i g v g r 1 f 1 (s) i c g 1 v g 2 v g f 2 (s) i c r 2 C R v Line-to-output transfer function G vg (s)= v(s) v g (s) ic =0 =g 2 r 2 R 1 sc Result for buck-boost example G vg (s)= D 2 1 1D 2 1s RC 1D Fundamentals of Power Electronics 29 Chapter 11: Current Programmed Control

30 Transfer functions predicted by simple model i g v g r 1 f 1 (s) i c g 1 v g 2 v g f 2 (s) i c r 2 C R v Output impedance Z out (s)=r 2 R 1 sc Result for buck-boost example Z out (s)= R 1D 1 1s RC 1D Fundamentals of Power Electronics 30 Chapter 11: Current Programmed Control

31 Averaged switch modeling with the simple approximation i 1 i 2 L i L v g v 1 v 2 C R v Switch network Averaged terminal waveforms, CCM: v 2 Ts = d v 1 Ts The simple approximation: i 2 Ts i c Ts i 1 Ts = d i 2 Ts Fundamentals of Power Electronics 31 Chapter 11: Current Programmed Control

32 CPM averaged switch equations v 2 Ts = d v 1 Ts i 2 Ts i c Ts i 1 Ts = d i 2 Ts Eliminate duty cycle: i 1 Ts = d i c Ts = v 2 Ts v 1 Ts i c Ts i 1 Ts v 1 Ts = i c Ts v 2 Ts = p Ts So: Output port is a current source Input port is a dependent current sink Fundamentals of Power Electronics 32 Chapter 11: Current Programmed Control

33 CPM averaged switch model i 1 Ts i 2 Ts L i L Ts p Ts v g Ts v 1 Ts i c Ts v 2 Ts C R v Ts Averaged switch network Fundamentals of Power Electronics 33 Chapter 11: Current Programmed Control

34 Results for other converters Boost i L Ts L v g Ts i c Ts p Ts C R v Ts Averaged switch network Averaged switch network Buck-boost p Ts i c Ts v g Ts C R v Ts L i L Ts Fundamentals of Power Electronics 34 Chapter 11: Current Programmed Control

35 Perturbation and linearization to construct small-signal model Let v 1 Ts = V 1 v 1 i 1 Ts = I 1 i 1 v 2 Ts = V 2 v 2 i 2 Ts = I 2 i 2 i c Ts = I c i c Resulting input port equation: V 1 v 1 I 1 i 1 = I c i c V 2 v 2 Small-signal result: i 1 =i c V 2 V 1 v 2 I c V 1 v 1 I 1 V 1 Output port equation: î 2 = î c Fundamentals of Power Electronics 35 Chapter 11: Current Programmed Control

36 Resulting small-signal model Buck example i 1 i 2 L v v V g i 2 C R V I 1 1 c v c 2 i v V c 2 v 1 V 1 I 1 Switch network small-signal ac model i 1 =i c V 2 V 1 v 2 I c V 1 v 1 I 1 V 1 Fundamentals of Power Electronics 36 Chapter 11: Current Programmed Control

37 Origin of input port negative incremental resistance i 1 Ts Power source characteristic v 1 Ts i 1 Ts = p Ts Quiescent operating point I 1 1 r 1 = I 1 V 1 V 1 v 1 Ts Fundamentals of Power Electronics 37 Chapter 11: Current Programmed Control

38 Expressing the equivalent circuit in terms of the converter input and output voltages i g L i L v g i c D 1 sl R D2 R D v R i c C R v i 1 (s)=d 1s L R i c(s) D R v(s)d2 R v g(s) Fundamentals of Power Electronics 38 Chapter 11: Current Programmed Control

39 Predicted transfer functions of the CPM buck converter i g L i L v g C R i D2 D c D 1 sl v i c v R R R G vc (s)= v(s) i c (s) vg =0 G vg (s)= v(s) v g (s) ic =0 = R 1 sc =0 Fundamentals of Power Electronics 39 Chapter 11: Current Programmed Control

40 11.3 A More Accurate Model The simple models of the previous section yield insight into the lowfrequency behavior of CPM converters Unfortunately, they do not always predict everything that we need to know: Line-to-output transfer function of the buck converter Dynamics at frequencies approaching f s More accurate model accounts for nonideal operation of current mode controller built-in feedback loop Converter duty-cycle-controlled model, plus block diagram that accurately models equations of current mode controller Fundamentals of Power Electronics 40 Chapter 11: Current Programmed Control

41 11.3.1Current programmed controller model m 1 dt s 2 m a dt s m 2 d't s 2 i c m a (i c i a ) m 1 i L dts i L d'ts m 2 i L i L Ts = d i L dts d' i L d'ts 0 dt s T s t i L Ts = i c Ts m a dt s d m 1dT s 2 = i c Ts m a dt s m 1 d 2 T s 2 m 2 d' m 2d'T s 2 d' 2 T s 2 Fundamentals of Power Electronics 41 Chapter 11: Current Programmed Control

42 Perturb Let i L Ts = I L i L i c Ts = I c i c d=dd m 1 =M 1 m 1 m 2 =M 2 m 2 It is assumed that the artificial ramp slope does not vary. Note that it is necessary to perturb the slopes, since these depend on the applied inductor voltage. For basic converters, buck converter m 1 = v g v L boost converter m 2 = v L m 1 = v g m L 2 = v v g L buck-boost converter m 1 = v g L m 2 = v L Fundamentals of Power Electronics 42 Chapter 11: Current Programmed Control

43 Linearize I L i L = I c i c M a T s D d M 1 m 1 D d 2 T s 2 The first-order ac terms are M 2 m 2 D' d 2 T s 2 i L =i c M a T s DM 1 T s D'M 2 T s d D2 T s 2 m 1 D'2 T s 2 m 2 Simplify using dc relationships: i L =i c M a T s d D2 T s 2 Solve for duty cycle variations: m 1 D'2 T s 2 m 2 d= 1 M a T s i c i L D2 T s 2 m 1 D'2 T s 2 m 2 Fundamentals of Power Electronics 43 Chapter 11: Current Programmed Control

44 Equation of the current programmed controller The expression for the duty cycle is of the general form d=f m i c i L F g v g F v v F m = 1/M a T s Table Current programmed controller gains for basic converters Converter F g F v Buck D 2 T s 2L 12D T s 2L Boost 2D 1 T s 2L D' 2 T s 2L Buck-boost D 2 T s 2L D' 2 T s 2L Fundamentals of Power Electronics 44 Chapter 11: Current Programmed Control

45 Block diagram of the current programmed controller d=f m i c i L F g v g F v v v g F m F g F v v d i L Describes the duty cycle chosen by the CPM controller Append this block diagram to the duty cycle controlled converter model, to obtain a complete CPM system model i c Fundamentals of Power Electronics 45 Chapter 11: Current Programmed Control

46 CPM buck converter model 1 : D V g d L i L v g Id C v R d F m T v v g F g F v v T i i L i c Fundamentals of Power Electronics 46 Chapter 11: Current Programmed Control

47 CPM boost converter model Vd L D' : 1 i L v g Id C v R d F m T v v g F g F v v T i i L i c Fundamentals of Power Electronics 47 Chapter 11: Current Programmed Control

48 CPM buck-boost converter model V L g V d 1 : D D' : 1 i L v g Id Id C v R d F m T v v g F g F v v T i i L i c Fundamentals of Power Electronics 48 Chapter 11: Current Programmed Control

49 Z o (s){ Example: analysis of CPM buck converter 1 : D V D d L i L v g Id Z i (s) C v R d Z o = R 1 sc Z i = sl R 1 sc v g F m F g F v v T v i L (s)= D Z i (s) v g (s) V D 2 d(s) i L T i v(s)=i L (s)z o (s) i c Fundamentals of Power Electronics 49 Chapter 11: Current Programmed Control

50 Block diagram of entire CPM buck converter v g D i L Z i (s) V D 2 F m d F g F v v i c i L T v Z o (s) T i Voltage loop gain: T v (s)=f m V D 2 Current loop gain: T i (s)= 1 Z o (s)f v T i (s)= F m 1F m V D 2 D Zi (s) Z o(s)f v T v (s) 1T v (s) V D 2 D Zi (s) D Zi (s) Z o(s)f v Transfer function from î c to î L : i L (s) i c (s) = T i(s) 1T i (s) Fundamentals of Power Electronics 50 Chapter 11: Current Programmed Control

51 Discussion: Transfer function from î c to î L When the loop gain Ti(s) is large in magnitude, then î L is approximately equal to î c. The results then coincide with the simple approximation. i L (s) i c (s) = T i(s) 1T i (s) The control-to-output transfer function can be written as G vc (s)= v(s) i c (s) =Z o(s) T i (s) 1T i (s) which is the simple approximation result, multiplied by the factor T i /(1 T i ). Fundamentals of Power Electronics 51 Chapter 11: Current Programmed Control

52 Loop gain T i (s) Result for the buck converter: T i (s)= K 1α 1α 1sRC 1s L R 2DM a M 2 1α 1α s2 LC 2DM a M 2 1α 1α Characteristic value K = 2L/RT s CCM for K > D α = 1m a m 2 D' D m a m 2 Controller stable for α < 1 Fundamentals of Power Electronics 52 Chapter 11: Current Programmed Control

53 Loop gain 1 s ω z Q T i (s)=t i0 1 s Qω 0 s ω 0 2 0dB T i T i 1T i T i0 f 0 f z f f c T i0 =K 1α 1α ω z = RC 1 ω 0 = 1 LC 2DM a 1α M 2 1α Q=R C M 2 1α L 2DM a 1α Fundamentals of Power Electronics 53 Chapter 11: Current Programmed Control

54 Crossover frequency f c High frequency asymptote of T i is T i (s) T i0 s ωz s ω 0 2 = T i0 ω 0 2 sω z Equate magnitude to one at crossover frequency: 2 f T i (j2π f c ) T 0 i0 =1 2π f c f z Solve for crossover frequency: f c = M 2 M a f s 2πD Fundamentals of Power Electronics 54 Chapter 11: Current Programmed Control

55 Construction of transfer functions i L (s) i c (s) = T i(s) 1T i (s) 1 1 s ω c G vc (s)=z o (s) T i (s) 1T i (s) R 1 1sRC 1 s ω c the result of the simple first-order model, with an added pole at the loop crossover frequency Fundamentals of Power Electronics 55 Chapter 11: Current Programmed Control

56 Exact analysis G vc (s)=r T i0 1 1T i0 T 1s i0 1 1T ωz 1 1 s i0 1T i0 Qω 0 1T ω0 i0 2 for T i0 >> 1, G vc (s) R 1 1 s ω z s2 T i0 ω 0 2 Low Q approximation: G vc (s) R 1 1 s ω z 1 sω z T i0 ω 0 2 which agrees with the previous slide Fundamentals of Power Electronics 56 Chapter 11: Current Programmed Control

57 Line-to-output transfer function Solution of complete block diagram leads to v(s)=i c (s)z o (s) T i (s) 1T i (s) v g(s) G g0 (s) 1T i (s) with G g0 (s)=z o (s) D Zi (s) 1 V D 2 F mf g 1 V D 2 F mf v Z o (s) D Zi (s) Fundamentals of Power Electronics 57 Chapter 11: Current Programmed Control

58 Line-to-output transfer function G vg (s)= v(s) v g (s) ic (s)=0 = G g0(s) 1T i (s) G vg (s)= 1s 1 (1 α) M a 1T i0 (1 α) 2D2 1 M 2 2 T i0 1T i0 1 ωz 1 1T i0 Qω 0 1 1T i0 s ω0 2 Poles are identical to control-to-output transfer function, and can be factored the same way: G vg (s) G vg (0) 1 s ω z 1 s ω c Fundamentals of Power Electronics 58 Chapter 11: Current Programmed Control

59 Line-to-output transfer function: dc gain G vg (0) 2D2 K M a M for large T i0 For any T i0, the dc gain goes to zero when M a /M 2 = 0.5 Effective feedforward of input voltage variations in CPM controller then effectively cancels out the v g variations in the direct forward path of the converter. Fundamentals of Power Electronics 59 Chapter 11: Current Programmed Control

60 11.4 Discontinuous conduction mode Again, use averaged switch modeling approach Result: simply replace Transistor by power sink Diode by power source Inductor dynamics appear at high frequency, near to or greater than the switching frequency Small-signal transfer functions contain a single low frequency pole DCM CPM boost and buck-boost are stable without artificial ramp DCM CPM buck without artificial ramp is stable for D < 2/3. A small artificial ramp m a 0.086m 2 leads to stability for all D. Fundamentals of Power Electronics 60 Chapter 11: Current Programmed Control

61 DCM CPM buck-boost example i L i 1 Switch network i 2 v 1 v 2 i c m a i pk v g C R v m 1 m 2 = v 2 T s L L i L v L = v 1 T s L v 1 Ts 0 t 0 v 2 Ts Fundamentals of Power Electronics 61 Chapter 11: Current Programmed Control

62 Analysis i pk = m 1 d 1 T s i L m 1 = v 1 Ts L i c m a i pk i c = i pk m a d 1 T s = m 1 m a d 1 T s v L m 1 = v 1 T s L v 1 Ts m 2 = v 2 T s L 0 t d 1 = i c 0 m 1 m a T s v 2 Ts Fundamentals of Power Electronics 62 Chapter 11: Current Programmed Control

63 Averaged switch input port equation i 1 Ts = 1 T s i 1 Ts = 1 2 i pkd 1 t t T s i 1 (τ)dτ = q 1 T s i 1 Area q 1 i pk i 1 Ts i 1 Ts = 1 2 m 1d 1 2 T s i 1 Ts = v 1 Ts i 1 Ts v 1 Ts = 1 2 Li 2 c f s 1 m a m Li 2 c f s 1 m a m = p T s t d 1 T s d 2 T s d 3 T s T s Fundamentals of Power Electronics 63 Chapter 11: Current Programmed Control i 2 i 2 Ts i pk Area q 2

64 Discussion: switch network input port Averaged transistor waveforms obey a power sink characteristic During first subinterval, energy is transferred from input voltage source, through transistor, to inductor, equal to W = 1 2 Li 2 pk This energy transfer process accounts for power flow equal to p Ts = Wf s = 1 2 Li 2 pk f s which is equal to the power sink expression of the previous slide. Fundamentals of Power Electronics 64 Chapter 11: Current Programmed Control

65 Averaged switch output port equation i 2 Ts = 1 T s t t T s i 2 (τ)dτ = q 2 T s i 1 Area q 1 i pk q 2 = 1 2 i pkd 2 T s d 2 =d 1 v 1 Ts i 1 Ts v 2 Ts i 2 i 2 Ts = p T s v 2 Ts i pk Area q 2 i 2 Ts v 2 Ts = 1 2 Li 2 c f s 1 m a m 1 2 = p T s T s i 2 Ts d 1 T s d 2 T s d 3 T s t Fundamentals of Power Electronics 65 Chapter 11: Current Programmed Control

66 Discussion: switch network output port Averaged diode waveforms obey a power sink characteristic During second subinterval, all stored energy in inductor is transferred, through diode, to load Hence, in averaged model, diode becomes a power source, having value equal to the power consumed by the transistor power sink element Fundamentals of Power Electronics 66 Chapter 11: Current Programmed Control

67 Averaged equivalent circuit i 1 Ts i 2 Ts v 1 Ts p Ts v 2 Ts v g Ts C R v Ts L Fundamentals of Power Electronics 67 Chapter 11: Current Programmed Control

68 Steady state model: DCM CPM buck-boost V g P R V Solution V 2 R = P P = 1 2 LI 2 c f s 1 M a M 1 2 V= PR = I c RL f s 2 1 M a M 1 for a resistive load 2 Fundamentals of Power Electronics 68 Chapter 11: Current Programmed Control

69 Models of buck and boost Buck L v g Ts p Ts C R v Ts Boost L v g Ts p Ts C R v Ts Fundamentals of Power Electronics 69 Chapter 11: Current Programmed Control

70 Summary of steady-state DCM CPM characteristics Table Steady-state DCM CPM characteristics of basic converters Converter M I crit Stability range when m a = 0 Buck P load P P load 1 2 I c Mm a T s 0 M< 2 3 Boost P load P load P I c M M 1 2M m at s 0 D 1 Buck-boost Depends on load characteristic: P load = P I c M M 1 m a T s 2 M1 0 D 1 I > I crit for CCM I < I crit for DCM Fundamentals of Power Electronics 70 Chapter 11: Current Programmed Control

71 Buck converter: output characteristic with m a = 0 I I c I = P V g V V P = P V 1 V g V CCM unstable for M > 1 2 CPM buck characteristic with m a = 0 resistive load line I = V/R with a resistive load, there can be two operating points the operating point having V > 0.67V g can be shown to be unstable 1 2 I c B CCM DCM 4P V g A DCM unstable for M > V g 2 3 V g V g V Fundamentals of Power Electronics 71 Chapter 11: Current Programmed Control

72 Linearized small-signal models: Buck i 1 i 2 L i L v g v 1 r 1 f 1 i c g 1 v 2 g 2 v 1 f 2 i c r 2 v 2 C R v Fundamentals of Power Electronics 72 Chapter 11: Current Programmed Control

73 Linearized small-signal models: Boost i L L i 1 i 2 v g v 1 r 1 f 1 i c g 1 v 2 g 2 v 1 f 2 i c r 2 v 2 C R v Fundamentals of Power Electronics 73 Chapter 11: Current Programmed Control

74 Linearized small-signal models: Buck-boost i 1 i 2 v 1 r 1 f 1 i c g 1 v 2 g 2 v 1 f 2 i c r 2 v 2 v g C R v L i L Fundamentals of Power Electronics 74 Chapter 11: Current Programmed Control

75 DCM CPM small-signal parameters: input port Table Current programmed DCM small-signal equivalent circuit parameters: input port Converter g 1 f 1 r 1 Buck 1 R M 2 1M 1 m a m 1 1 m a m 1 2 I 1 m I c R 1M 1 a m 1 M 2 1 m a m 1 Boost 1 R M M 1 2 I I c R M 2 2M M1 2 m a m 1 1 m a m 1 Buck-boost 0 2 I 1 I c 1 R M 2 m a m 1 1 m a m 1 Fundamentals of Power Electronics 75 Chapter 11: Current Programmed Control

76 DCM CPM small-signal parameters: output port Table Current programmed DCM small-signal equivalent circuit parameters: output port Converter g 2 f 2 r 2 Buck 1 R M 1M m a m 1 2M M 1 m a m 1 2 I I c R 1M 1 m a m 1 12M m a m 1 Boost 1 R M M 1 2 I 2 R M1 I c M Buck-boost 2M R m a m 1 2 I 2 I c R 1 m a m 1 Fundamentals of Power Electronics 76 Chapter 11: Current Programmed Control

77 Simplified DCM CPM model, with L = 0 Buck, boost, buck-boost all become v g r 1 f 1 i c g 1 v g 2 v g f 2 i c r 2 C R v G vc (s)= v i c vg=0= G c0 1 s ω p G vg (s)= v v g ic =0 = G g0 1 s ω p G c0 = f 2 R r 2 ω p = 1 R r 2 C G g0 =g 2 R r 2 Fundamentals of Power Electronics 77 Chapter 11: Current Programmed Control

78 Buck ω p Plug in parameters: ω p = 1 RC 23M 1M m a m 2 M 2M 1M 1MM m a m 2 For m a = 0, numerator is negative when M > 2/3. ω p then constitutes a RHP pole. Converter is unstable. Addition of small artificial ramp stabilizes system. m a > leads to stability for all M 1. Output voltage feedback can also stabilize system, without an artificial ramp Fundamentals of Power Electronics 78 Chapter 11: Current Programmed Control

79 11.5 Summary of key points 1. In current-programmed control, the peak switch current i s follows the control input i c. This widely used control scheme has the advantage of a simpler control-to-output transfer function. The line-to-output transfer functions of current-programmed buck converters are also reduced. 2. The basic current-programmed controller is unstable when D > 0.5, regardless of the converter topology. The controller can be stabilized by addition of an artificial ramp having slope ma. When m a 0.5 m 2, then the controller is stable for all duty cycle. 3. The behavior of current-programmed converters can be modeled in a simple and intuitive manner by the first-order approximation i L T s i c. The averaged terminal waveforms of the switch network can then be modeled simply by a current source of value i c, in conjunction with a power sink or power source element. Perturbation and linearization of these elements leads to the small-signal model. Alternatively, the smallsignal converter equations derived in Chapter 7 can be adapted to cover the current programmed mode, using the simple approximation i L i c. Fundamentals of Power Electronics 79 Chapter 11: Current Programmed Control

80 Summary of key points 4. The simple model predicts that one pole is eliminated from the converter line-to-output and control-to-output transfer functions. Current programming does not alter the transfer function zeroes. The dc gains become load-dependent. 5. The more accurate model of Section 11.3 correctly accounts for the difference between the average inductor current i L T s and the control input i c. This model predicts the nonzero line-to-output transfer function G vg (s) of the buck converter. The current-programmed controller behavior is modeled by a block diagram, which is appended to the small-signal converter models derived in Chapter 7. Analysis of the resulting multiloop feedback system then leads to the relevant transfer functions. 6. The more accurate model predicts that the inductor pole occurs at the crossover frequency fc of the effective current feedback loop gain T i (s). The frequency f c typically occurs in the vicinity of the converter switching frequency f s. The more accurate model also predicts that the line-to-output transfer function G vg (s) of the buck converter is nulled when m a = 0.5 m 2. Fundamentals of Power Electronics 80 Chapter 11: Current Programmed Control

81 Summary of key points 7. Current programmed converters operating in the discontinuous conduction mode are modeled in Section The averaged transistor waveforms can be modeled by a power sink, while the averaged diode waveforms are modeled by a power source. The power is controlled by i c. Perturbation and linearization of these averaged models, as usual, leads to small-signal equivalent circuits. Fundamentals of Power Electronics 81 Chapter 11: Current Programmed Control

Chapter 9: Controller design

Chapter 9: Controller design Chapter 9. Controller Design 9.1. Introduction 9.2. Effect of negative feedback on the network transfer functions 9.2.1. Feedback reduces the transfer function from disturbances to the output 9.2.2. Feedback

More information

Chapter 20 Quasi-Resonant Converters

Chapter 20 Quasi-Resonant Converters Chapter 0 Quasi-Resonant Converters Introduction 0.1 The zero-current-switching quasi-resonant switch cell 0.1.1 Waveforms of the half-wave ZCS quasi-resonant switch cell 0.1. The average terminal waveforms

More information

Power supplies. EE328 Power Electronics Assoc. Prof. Dr. Mutlu BOZTEPE Ege University, Dept. of E&E

Power supplies. EE328 Power Electronics Assoc. Prof. Dr. Mutlu BOZTEPE Ege University, Dept. of E&E Power supplies EE328 Power Electronics Assoc. Prof. Dr. Mutlu BOZTEPE Ege University, Dept. of E&E EE328 POWER ELECTRONICS Outline of lecture Introduction to power supplies Modelling a power transformer

More information

Fundamentals of Power Electronics. Robert W. Erickson University of Colorado, Boulder

Fundamentals of Power Electronics. Robert W. Erickson University of Colorado, Boulder Robert W. Erickson University of Colorado, Boulder 1 1.1. Introduction to power processing 1.2. Some applications of power electronics 1.3. Elements of power electronics Summary of the course 2 1.1 Introduction

More information

Application of network analyzer in measuring the performance functions of power supply

Application of network analyzer in measuring the performance functions of power supply J Indian Inst Sci, July Aug 2006, 86, 315 325 Indian Institute of Science Application of network analyzer in measuring the performance functions of power supply B SWAMINATHAN* AND V RAMANARAYANAN Power

More information

The Flyback Converter

The Flyback Converter The Flyback Converter Lecture notes ECEN4517! Derivation of the flyback converter: a transformer-isolated version of the buck-boost converter! Typical waveforms, and derivation of M(D) = V/! Flyback transformer

More information

Application Report SLVA057

Application Report SLVA057 Application Report March 1999 Mixed Signal Products SLVA057 IMPORTANT NOTICE Texas Instruments and its subsidiaries (TI) reserve the right to make changes to their products or to discontinue any product

More information

DC-DC Converter Basics

DC-DC Converter Basics Page 1 of 16 Free Downloads / Design Tips / Java Calculators / App. Notes / Tutorials / Newsletter / Discussion / Components Database / Library / Power Links / Software / Technical Articles / On-Line Textbook

More information

U-97 APPLICATION NOTE MODELLING, ANALYSIS AND COMPENSATION OF THE CURRENT-MODE CONVERTER 3-43. Abstract

U-97 APPLICATION NOTE MODELLING, ANALYSIS AND COMPENSATION OF THE CURRENT-MODE CONVERTER 3-43. Abstract APPLICATION NOTE MODELLING, ANALYSIS AND COMPENSATION OF THE CURRENT-MODE CONVERTER U-97 Abstract As current-mode conversion increases in popularity, several peculiarities associated with fixed-frequency,

More information

Chapter 19 Resonant Conversion

Chapter 19 Resonant Conversion Chapter 9 Resonant Conversion Introduction 9. Sinusoidal analysis of resonant converters 9. Examples Series resonant converter Parallel resonant converter 9.3 Exact characteristics of the series and parallel

More information

Application Report SLVA061

Application Report SLVA061 Application Report March 1999 Mixed Signal Products SLVA061 IMPORTANT NOTICE Texas Instruments and its subsidiaries (TI) reserve the right to make changes to their products or to discontinue any product

More information

Design A High Performance Buck or Boost Converter With Si9165

Design A High Performance Buck or Boost Converter With Si9165 Design A High Performance Buck or Boost Converter With Si9165 AN723 AN723 by Kin Shum INTRODUCTION The Si9165 is a controller IC designed for dc-to-dc conversion applications with 2.7- to 6- input voltage.

More information

Lecture 24: Oscillators. Clapp Oscillator. VFO Startup

Lecture 24: Oscillators. Clapp Oscillator. VFO Startup Whites, EE 322 Lecture 24 Page 1 of 10 Lecture 24: Oscillators. Clapp Oscillator. VFO Startup Oscillators are circuits that produce periodic output voltages, such as sinusoids. They accomplish this feat

More information

Power Electronic Circuits

Power Electronic Circuits Power Electronic Circuits Assoc. Prof. Dr. H. İbrahim OKUMUŞ Karadeniz Technical University Engineering Faculty Department of Electrical And Electronics 1 DC to DC CONVERTER (CHOPPER) General Buck converter

More information

Chapter 6: Converter circuits

Chapter 6: Converter circuits Chapter 6. Converter Circuits 6.. Circuit manipulations 6.2. A short list of converters 6.3. Transformer isolation 6.4. Converter evaluation and design 6.5. Summary of key points Where do the boost, buck-boost,

More information

Switch Mode Power Supply Topologies

Switch Mode Power Supply Topologies Switch Mode Power Supply Topologies The Buck Converter 2008 Microchip Technology Incorporated. All Rights Reserved. WebSeminar Title Slide 1 Welcome to this Web seminar on Switch Mode Power Supply Topologies.

More information

Design of a TL431-Based Controller for a Flyback Converter

Design of a TL431-Based Controller for a Flyback Converter Design of a TL431-Based Controller for a Flyback Converter Dr. John Schönberger Plexim GmbH Technoparkstrasse 1 8005 Zürich 1 Introduction The TL431 is a reference voltage source that is commonly used

More information

Fundamentals of Microelectronics

Fundamentals of Microelectronics Fundamentals of Microelectronics CH1 Why Microelectronics? CH2 Basic Physics of Semiconductors CH3 Diode Circuits CH4 Physics of Bipolar Transistors CH5 Bipolar Amplifiers CH6 Physics of MOS Transistors

More information

Simple PWM Boost Converter with I/O Disconnect Solves Malfunctions Caused when V OUT <V IN

Simple PWM Boost Converter with I/O Disconnect Solves Malfunctions Caused when V OUT <V IN Simple PWM Boost Converter with I/O Disconnect Solves Malfunctions Caused when V OUT

More information

Power supply output voltages are dropping with each

Power supply output voltages are dropping with each DESIGNER S SERIES Second-Stage LC Filter Design First Inductor by Dr. Ray Ridley First Capacitor Power supply output voltages are dropping with each new generation of Integrated Circuits (ICs). Anticipated

More information

Designing Stable Compensation Networks for Single Phase Voltage Mode Buck Regulators

Designing Stable Compensation Networks for Single Phase Voltage Mode Buck Regulators Designing Stable Compensation Networks for Single Phase Voltage Mode Buck Regulators Technical Brief December 3 TB47. Author: Doug Mattingly Assumptions This Technical Brief makes the following assumptions:.

More information

Positive Feedback and Oscillators

Positive Feedback and Oscillators Physics 3330 Experiment #6 Fall 1999 Positive Feedback and Oscillators Purpose In this experiment we will study how spontaneous oscillations may be caused by positive feedback. You will construct an active

More information

Welcome to this presentation on Switch Mode Drivers, part of OSRAM Opto Semiconductors LED Fundamentals series. In this presentation we will look at:

Welcome to this presentation on Switch Mode Drivers, part of OSRAM Opto Semiconductors LED Fundamentals series. In this presentation we will look at: Welcome to this presentation on Switch Mode Drivers, part of OSRAM Opto Semiconductors LED Fundamentals series. In this presentation we will look at: How switch mode drivers work, switch mode driver topologies,

More information

Noise Free 90+ for LCD TV AC Adapter Desk Top. 96% x 96% = 92.16% Champion Microelectronic. 96+ Interleaved CRM PFC CM6565 PFC & PFC PWM PWM

Noise Free 90+ for LCD TV AC Adapter Desk Top. 96% x 96% = 92.16% Champion Microelectronic. 96+ Interleaved CRM PFC CM6565 PFC & PFC PWM PWM Soft Soft Switching Switching PFC PFC & & Soft Soft Switching Switching PWM PWM 96% x 96% = 92.16% Noise Free 90+ for LCD TV AC Adapter Desk Top 1 96% x 96% = 92.16% 96+ LLC/SRC + SR CM6900/1 92% x 96%

More information

THE RIGHT-HALF-PLANE ZERO --A SIMPLIFIED EXPLANATION

THE RIGHT-HALF-PLANE ZERO --A SIMPLIFIED EXPLANATION THE RGHT-HALF-PLANE ZERO --A SMPLFED EXPLANATON n small signal loop analysis, poles and zeros are normally located in the left half of the complex s-plane. The Bode plot of a conventional or lefthalf-plane

More information

Technical Note #3. Error Amplifier Design and Applications. Introduction

Technical Note #3. Error Amplifier Design and Applications. Introduction Technical Note #3 Error Amplifier Design and Applications Introduction All regulating power supplies require some sort of closed-loop control to force the output to match the desired value. Both digital

More information

Selecting IHLP Composite Inductors for Non-Isolated Converters Utilizing Vishay s Application Sheet

Selecting IHLP Composite Inductors for Non-Isolated Converters Utilizing Vishay s Application Sheet VISHAY DALE www.vishay.com Magnetics Selecting IHLP Composite Inductors for Non-Isolated Converters INTRODUCTION This application note will provide information to assist in the specification of IHLP composite

More information

Bode Diagrams of Transfer Functions and Impedances ECEN 2260 Supplementary Notes R. W. Erickson

Bode Diagrams of Transfer Functions and Impedances ECEN 2260 Supplementary Notes R. W. Erickson Bode Diagrams of Transfer Functions and Impedances ECEN 2260 Supplementary Notes. W. Erickson In the design of a signal processing network, control system, or other analog system, it is usually necessary

More information

Chapter 1 dc-dc and regulation theory. Chapter 2 Small-signal theory

Chapter 1 dc-dc and regulation theory. Chapter 2 Small-signal theory Switch-Mode Power Supplies SPICE Simulations and Practical Designs OrCAD/PSpice Simulation Libraries and Design Templates Christophe Basso 2007 Revision 0.3 May 2007 The present Word file describes the

More information

Single-Stage High Power Factor Flyback for LED Lighting

Single-Stage High Power Factor Flyback for LED Lighting Application Note Stockton Wu AN012 May 2014 Single-Stage High Power Factor Flyback for LED Lighting Abstract The application note illustrates how the single-stage high power factor flyback converter uses

More information

ES250: Electrical Science. HW7: Energy Storage Elements

ES250: Electrical Science. HW7: Energy Storage Elements ES250: Electrical Science HW7: Energy Storage Elements Introduction This chapter introduces two more circuit elements, the capacitor and the inductor whose elements laws involve integration or differentiation;

More information

Application Notes. Magnetics. Determining L min for Buck/Boost Converters

Application Notes. Magnetics. Determining L min for Buck/Boost Converters Application Notes Magnetics etermining min for Buck/Boost onverters Fundamental oncepts 172 alculating Minimum nductance Buck Type onverters 174 Boost Type onverters 177 Buck-Boost onverters 180-171 APPATON

More information

Let s examine the response of the circuit shown on Figure 1. The form of the source voltage Vs is shown on Figure 2. R. Figure 1.

Let s examine the response of the circuit shown on Figure 1. The form of the source voltage Vs is shown on Figure 2. R. Figure 1. Examples of Transient and RL Circuits. The Series RLC Circuit Impulse response of Circuit. Let s examine the response of the circuit shown on Figure 1. The form of the source voltage Vs is shown on Figure.

More information

MODELING AND SIMULATION OF A THREE-PHASE INVERTER WITH RECTIFIER-TYPE NONLINEAR LOADS

MODELING AND SIMULATION OF A THREE-PHASE INVERTER WITH RECTIFIER-TYPE NONLINEAR LOADS , pp. 7-1 MODELING AND SIMULAION OF A HREE-PHASE INERER WIH RECIFIER-YPE NONLINEAR LOADS Jawad Faiz 1 and Ghazanfar Shahgholian 2 1 School of Electrical and Computer Engineering, Faculty of Engineering,

More information

G(s) = Y (s)/u(s) In this representation, the output is always the Transfer function times the input. Y (s) = G(s)U(s).

G(s) = Y (s)/u(s) In this representation, the output is always the Transfer function times the input. Y (s) = G(s)U(s). Transfer Functions The transfer function of a linear system is the ratio of the Laplace Transform of the output to the Laplace Transform of the input, i.e., Y (s)/u(s). Denoting this ratio by G(s), i.e.,

More information

Chapter 17 The Ideal Rectifier

Chapter 17 The Ideal Rectifier Chapter 17 The Ideal Rectifier 17.1 Properties of the ideal rectifier 17.2 Realization of a near-ideal rectifier 17.3 Single-phase converter systems employing ideal rectifiers 17.4 RMS values of rectifier

More information

Introduction to SMPS Control Techniques

Introduction to SMPS Control Techniques Introduction to SMPS Control Techniques 2006 Microchip Technology Incorporated. All Rights Reserved. Introduction to SMPS Control Techniques Slide 1 Welcome to the Introduction to SMPS Control Techniques

More information

RLC Resonant Circuits

RLC Resonant Circuits C esonant Circuits Andrew McHutchon April 20, 203 Capacitors and Inductors There is a lot of inconsistency when it comes to dealing with reactances of complex components. The format followed in this document

More information

Chapter 14: Inductor design

Chapter 14: Inductor design Chapter 14 Inductor Design 14.1 Filter inductor design constraints 14.2 A step-by-step design procedure 14.3 Multiple-winding magnetics design using the K g method 14.4 Examples 14.5 Summary of key points

More information

Notes about Small Signal Model. for EE 40 Intro to Microelectronic Circuits

Notes about Small Signal Model. for EE 40 Intro to Microelectronic Circuits Notes about Small Signal Model for EE 40 Intro to Microelectronic Circuits 1. Model the MOSFET Transistor For a MOSFET transistor, there are NMOS and PMOS. The examples shown here would be for NMOS. Figure

More information

Power Electronics. Alberto Tibaldi. July 13, 2010

Power Electronics. Alberto Tibaldi. July 13, 2010 Power Electronics Alberto Tibaldi July 13, 2010 Contents 1 Switch-mode power supplies 9 1.1 Introduction............................ 9 1.1.1 Introduction to basic topologies of switching-mode converters...........................

More information

TDA4605 CONTROL CIRCUIT FOR SWITCH MODE POWER SUPPLIES USING MOS TRANSISTORS

TDA4605 CONTROL CIRCUIT FOR SWITCH MODE POWER SUPPLIES USING MOS TRANSISTORS CONTROL CIRCUIT FOR SWITCH MODE POWER SUPPLIES USING MOS TRANSISTORS Fold-Back Characteristic provides Overload Protection for External Diodes Burst Operation under Short-Circuit and no Load Conditions

More information

Fast analytical techniques for electrical and electronic circuits. Jet Propulsion Laboratory California Institute of Technology

Fast analytical techniques for electrical and electronic circuits. Jet Propulsion Laboratory California Institute of Technology Fast analytical techniques for electrical and electronic circuits Vatché Vorpérian Jet Propulsion Laboratory California Institute of Technology PUBLISHED BY THE PRESS SYNDICATE OF THE UNIVERSITY OF CAMBRIDGE

More information

Current Ripple Factor of a Buck Converter

Current Ripple Factor of a Buck Converter Application Note Edwin Wang AN1 April 14 Current Ripple Factor of a Buck Converter Abstract Inductor and capacitor forms a low-pass filter in a buck converter. The corner frequency the C filter is always

More information

Condizionamento della Potenza Elettrica a Bordo Satellite

Condizionamento della Potenza Elettrica a Bordo Satellite Condizionamento della Potenza Elettrica a Bordo Satellite Prof. Piero Maranesi, Dr. Federico Belloni, Dr. Marco Riva Università degli Studi di Milano Dipartimento di Fisica Space Vehicles LEO satellite

More information

SIMULATION AND ASSESSMENT OF SINGLE PHASE SEMI-Z-SOURCE INVERTER (S-ZSI)

SIMULATION AND ASSESSMENT OF SINGLE PHASE SEMI-Z-SOURCE INVERTER (S-ZSI) International Journal of Electrical Engineering & Technology (IJEET) Volume 7, Issue 1, Jan-Feb, 2016, pp.30-34, Article ID: IJEET_07_01_003 Available online at http:// http://www.iaeme.com/ijeet/issues.asp?jtype=ijeet&vtype=7&itype=1

More information

Switched-mode buck converter with voltage mirror regulation topology

Switched-mode buck converter with voltage mirror regulation topology 1/20 Switched-mode buck converter with voltage mirror regulation topology Avatekh Inc Lawrence, KS, USA inquiry@avatekh.com May June 2014 2/20 1 Motivation and highlights 2 SMVM-based buck controller 3

More information

Lecture 24. Inductance and Switching Power Supplies (how your solar charger voltage converter works)

Lecture 24. Inductance and Switching Power Supplies (how your solar charger voltage converter works) Lecture 24 Inductance and Switching Power Supplies (how your solar charger voltage converter works) Copyright 2014 by Mark Horowitz 1 Roadmap: How Does This Work? 2 Processor Board 3 More Detailed Roadmap

More information

Boundary between CCM and DCM in DC/DC PWM Converters

Boundary between CCM and DCM in DC/DC PWM Converters Boundary between CCM and DCM in DC/DC PWM Converters ELENA NICULESCU and E. P. IANCU Dept. of Electronics and Instrumentation, and Automation University of Craiova ROMANIA Abstract: - It is presented a

More information

Control of Boost type Converter in Discontinuous Conduction Mode by Controlling the Product of Inductor Voltage-Second

Control of Boost type Converter in Discontinuous Conduction Mode by Controlling the Product of Inductor Voltage-Second Control of Boost type Converter in Discontinuous Conduction Mode by Controlling the Product of Inductor oltage-econd Chongming (Michael) Qiao, Jason Zhang International Rectifier 33 Kansas treet, El egundo,

More information

Parametric variation analysis of CUK converter for constant voltage applications

Parametric variation analysis of CUK converter for constant voltage applications ISSN (Print) : 232 3765 (An ISO 3297: 27 Certified Organization) Vol. 3, Issue 2, February 214 Parametric variation analysis of CUK converter for constant voltage applications Rheesabh Dwivedi 1, Vinay

More information

Programmable Single-/Dual-/Triple- Tone Gong SAE 800

Programmable Single-/Dual-/Triple- Tone Gong SAE 800 Programmable Single-/Dual-/Triple- Tone Gong Preliminary Data SAE 800 Bipolar IC Features Supply voltage range 2.8 V to 18 V Few external components (no electrolytic capacitor) 1 tone, 2 tones, 3 tones

More information

3.4 - BJT DIFFERENTIAL AMPLIFIERS

3.4 - BJT DIFFERENTIAL AMPLIFIERS BJT Differential Amplifiers (6/4/00) Page 1 3.4 BJT DIFFERENTIAL AMPLIFIERS INTRODUCTION Objective The objective of this presentation is: 1.) Define and characterize the differential amplifier.) Show the

More information

Electronics for Analog Signal Processing - II Prof. K. Radhakrishna Rao Department of Electrical Engineering Indian Institute of Technology Madras

Electronics for Analog Signal Processing - II Prof. K. Radhakrishna Rao Department of Electrical Engineering Indian Institute of Technology Madras Electronics for Analog Signal Processing - II Prof. K. Radhakrishna Rao Department of Electrical Engineering Indian Institute of Technology Madras Lecture - 18 Wideband (Video) Amplifiers In the last class,

More information

Current vs. Voltage Feedback Amplifiers

Current vs. Voltage Feedback Amplifiers Current vs. ltage Feedback Amplifiers One question continuously troubles the analog design engineer: Which amplifier topology is better for my application, current feedback or voltage feedback? In most

More information

The D.C Power Supply

The D.C Power Supply The D.C Power Supply Voltage Step Down Electrical Isolation Converts Bipolar signal to Unipolar Half or Full wave Smoothes the voltage variation Still has some ripples Reduce ripples Stabilize the output

More information

CHAPTER 2 POWER AMPLIFIER

CHAPTER 2 POWER AMPLIFIER CHATER 2 OWER AMLFER 2.0 ntroduction The main characteristics of an amplifier are Linearity, efficiency, output power, and signal gain. n general, there is a trade off between these characteristics. For

More information

CHAPTER 10 OPERATIONAL-AMPLIFIER CIRCUITS

CHAPTER 10 OPERATIONAL-AMPLIFIER CIRCUITS CHAPTER 10 OPERATIONAL-AMPLIFIER CIRCUITS Chapter Outline 10.1 The Two-Stage CMOS Op Amp 10.2 The Folded-Cascode CMOS Op Amp 10.3 The 741 Op-Amp Circuit 10.4 DC Analysis of the 741 10.5 Small-Signal Analysis

More information

Properties of electrical signals

Properties of electrical signals DC Voltage Component (Average voltage) Properties of electrical signals v(t) = V DC + v ac (t) V DC is the voltage value displayed on a DC voltmeter Triangular waveform DC component Half-wave rectifier

More information

Laboratory 4: Feedback and Compensation

Laboratory 4: Feedback and Compensation Laboratory 4: Feedback and Compensation To be performed during Week 9 (Oct. 20-24) and Week 10 (Oct. 27-31) Due Week 11 (Nov. 3-7) 1 Pre-Lab This Pre-Lab should be completed before attending your regular

More information

Lecture 060 Push-Pull Output Stages (1/11/04) Page 060-1. ECE 6412 - Analog Integrated Circuits and Systems II P.E. Allen - 2002

Lecture 060 Push-Pull Output Stages (1/11/04) Page 060-1. ECE 6412 - Analog Integrated Circuits and Systems II P.E. Allen - 2002 Lecture 060 PushPull Output Stages (1/11/04) Page 0601 LECTURE 060 PUSHPULL OUTPUT STAGES (READING: GHLM 362384, AH 226229) Objective The objective of this presentation is: Show how to design stages that

More information

Design and implementation of a modified DC- DC converter suitable for renewable energy application

Design and implementation of a modified DC- DC converter suitable for renewable energy application Le 3 ème Séminaire International sur les Nouvelles et Design and implementation of a modified DC- DC converter suitable for renewable energy application Tareq ALNEJAILI and Said DRID senior member IEEE

More information

Operational Amplifier - IC 741

Operational Amplifier - IC 741 Operational Amplifier - IC 741 Tabish December 2005 Aim: To study the working of an 741 operational amplifier by conducting the following experiments: (a) Input bias current measurement (b) Input offset

More information

Keywords: input noise, output noise, step down converters, buck converters, MAX1653EVKit

Keywords: input noise, output noise, step down converters, buck converters, MAX1653EVKit Maxim > Design Support > Technical Documents > Tutorials > Power-Supply Circuits > APP 986 Keywords: input noise, output noise, step down converters, buck converters, MAX1653EVKit TUTORIAL 986 Input and

More information

MP2365 3A, 28V, 1.4MHz Step-Down Converter

MP2365 3A, 28V, 1.4MHz Step-Down Converter The Future of Analog IC Technology MP365 3A, 8,.MHz Step-Down Converter DESCRIPTION The MP365 is a.mhz step-down regulator with a built-in Power MOSFET. It achieves 3A continuous output current over a

More information

Power Electronics. Prof. K. Gopakumar. Centre for Electronics Design and Technology. Indian Institute of Science, Bangalore.

Power Electronics. Prof. K. Gopakumar. Centre for Electronics Design and Technology. Indian Institute of Science, Bangalore. Power Electronics Prof. K. Gopakumar Centre for Electronics Design and Technology Indian Institute of Science, Bangalore Lecture - 1 Electric Drive Today, we will start with the topic on industrial drive

More information

LM 358 Op Amp. If you have small signals and need a more useful reading we could amplify it using the op amp, this is commonly used in sensors.

LM 358 Op Amp. If you have small signals and need a more useful reading we could amplify it using the op amp, this is commonly used in sensors. LM 358 Op Amp S k i l l L e v e l : I n t e r m e d i a t e OVERVIEW The LM 358 is a duel single supply operational amplifier. As it is a single supply it eliminates the need for a duel power supply, thus

More information

AC/DC Power Supply Reference Design. Advanced SMPS Applications using the dspic DSC SMPS Family

AC/DC Power Supply Reference Design. Advanced SMPS Applications using the dspic DSC SMPS Family AC/DC Power Supply Reference Design Advanced SMPS Applications using the dspic DSC SMPS Family dspic30f SMPS Family Excellent for Digital Power Conversion Internal hi-res PWM Internal high speed ADC Internal

More information

EMI and t Layout Fundamentals for Switched-Mode Circuits

EMI and t Layout Fundamentals for Switched-Mode Circuits v sg (t) (t) DT s V pp = n - 1 2 V pp V g n V T s t EE core insulation primary return secondary return Supplementary notes on EMI and t Layout Fundamentals for Switched-Mode Circuits secondary primary

More information

Abstract. Cycle Domain Simulator for Phase-Locked Loops

Abstract. Cycle Domain Simulator for Phase-Locked Loops Abstract Cycle Domain Simulator for Phase-Locked Loops Norman James December 1999 As computers become faster and more complex, clock synthesis becomes critical. Due to the relatively slower bus clocks

More information

UNISONIC TECHNOLOGIES CO., LTD

UNISONIC TECHNOLOGIES CO., LTD UPS61 UNISONIC TECHNOLOGIES CO., LTD HIGH PERFORMANCE CURRENT MODE POWER SWITCH DESCRIPTION The UTC UPS61 is designed to provide several special enhancements to satisfy the needs, for example, Power-Saving

More information

LDS8720. 184 WLED Matrix Driver with Boost Converter FEATURES APPLICATION DESCRIPTION TYPICAL APPLICATION CIRCUIT

LDS8720. 184 WLED Matrix Driver with Boost Converter FEATURES APPLICATION DESCRIPTION TYPICAL APPLICATION CIRCUIT 184 WLED Matrix Driver with Boost Converter FEATURES High efficiency boost converter with the input voltage range from 2.7 to 5.5 V No external Schottky Required (Internal synchronous rectifier) 250 mv

More information

ULRASONIC GENERATOR POWER CIRCUITRY. Will it fit on PC board

ULRASONIC GENERATOR POWER CIRCUITRY. Will it fit on PC board ULRASONIC GENERATOR POWER CIRCUITRY Will it fit on PC board MAJOR COMPONENTS HIGH POWER FACTOR RECTIFIER RECTIFIES POWER LINE RAIL SUPPLY SETS VOLTAGE AMPLITUDE INVERTER INVERTS RAIL VOLTAGE FILTER FILTERS

More information

Using the Impedance Method

Using the Impedance Method Using the Impedance Method The impedance method allows us to completely eliminate the differential equation approach for the determination of the response of circuits. In fact the impedance method even

More information

Testing a power supply for line and load transients

Testing a power supply for line and load transients Testing a power supply for line and load transients Power-supply specifications for line and load transients describe the response of a power supply to abrupt changes in line voltage and load current.

More information

Chapter 12: The Operational Amplifier

Chapter 12: The Operational Amplifier Chapter 12: The Operational Amplifier 12.1: Introduction to Operational Amplifier (Op-Amp) Operational amplifiers (op-amps) are very high gain dc coupled amplifiers with differential inputs; they are used

More information

EECS 240 Topic 7: Current Sources

EECS 240 Topic 7: Current Sources EECS 240 Analog Integrated Circuits Topic 7: Current Sources Bernhard E. Boser,Ali M. Niknejad and S.Gambini Department of Electrical Engineering and Computer Sciences Bias Current Sources Applications

More information

DC/DC BUCK Converter for Renewable Energy Applications Mr.C..Rajeshkumar M.E Power Electronic and Drives,

DC/DC BUCK Converter for Renewable Energy Applications Mr.C..Rajeshkumar M.E Power Electronic and Drives, DC/DC BUCK Converter for Renewable Energy Applications Mr.C..Rajeshkumar M.E Power Electronic and Drives, Mr.C.Anandaraj Assistant Professor -EEE Thiruvalluvar college of Engineering And technology, Ponnur

More information

Programmable-Gain Transimpedance Amplifiers Maximize Dynamic Range in Spectroscopy Systems

Programmable-Gain Transimpedance Amplifiers Maximize Dynamic Range in Spectroscopy Systems Programmable-Gain Transimpedance Amplifiers Maximize Dynamic Range in Spectroscopy Systems PHOTODIODE VOLTAGE SHORT-CIRCUIT PHOTODIODE SHORT- CIRCUIT VOLTAGE 0mV DARK ark By Luis Orozco Introduction Precision

More information

Power Supplies. 1.0 Power Supply Basics. www.learnabout-electronics.org. Module

Power Supplies. 1.0 Power Supply Basics. www.learnabout-electronics.org. Module Module 1 www.learnabout-electronics.org Power Supplies 1.0 Power Supply Basics What you ll learn in Module 1 Section 1.0 Power Supply Basics. Basic functions of a power supply. Safety aspects of working

More information

A NEW TWO SWITCH TOPOLOGY BUCK BOOST CONVERTOR IN UNIVERSAL INPUT PFC APPLICATION

A NEW TWO SWITCH TOPOLOGY BUCK BOOST CONVERTOR IN UNIVERSAL INPUT PFC APPLICATION A NEW TWO SWITCH TOPOLOGY BUCK BOOST CONVERTOR IN UNIVERSAL INPUT PFC APPLICATION Ranjana Khandare 1, Deepak Rathod 1 and *Asutosh K Pandey 2 1 Department of Electrical Engineering 2 Department of Engineering

More information

Application Note 149. January 2015. Modeling and Loop Compensation Design of Switching Mode Power Supplies AN149-1. Henry J. Zhang

Application Note 149. January 2015. Modeling and Loop Compensation Design of Switching Mode Power Supplies AN149-1. Henry J. Zhang Modeling and Loop Compensation Design of Switching Mode Power Supplies Henry J. Zhang January 25 Introduction Today s electronic systems are becoming more and more complex, with an increasing number of

More information

EE 330 Lecture 21. Small Signal Analysis Small Signal Analysis of BJT Amplifier

EE 330 Lecture 21. Small Signal Analysis Small Signal Analysis of BJT Amplifier EE 330 Lecture 21 Small Signal Analsis Small Signal Analsis of BJT Amplifier Review from Last Lecture Comparison of Gains for MOSFET and BJT Circuits IN (t) A B BJT CC 1 R EE OUT I R C 1 t If I D R =I

More information

Homework Assignment 03

Homework Assignment 03 Question 1 (2 points each unless noted otherwise) Homework Assignment 03 1. A 9-V dc power supply generates 10 W in a resistor. What peak-to-peak amplitude should an ac source have to generate the same

More information

A Simple Current-Sense Technique Eliminating a Sense Resistor

A Simple Current-Sense Technique Eliminating a Sense Resistor INFINITY Application Note AN-7 A Simple Current-Sense Technique Eliminating a Sense Resistor Copyright 998 A SIMPE CURRENT-SENSE TECHNIQUE EIMINATING A SENSE RESISTOR INTRODUCTION A sense resistor R S,

More information

www.jameco.com 1-800-831-4242

www.jameco.com 1-800-831-4242 Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. LF411 Low Offset, Low Drift JFET Input Operational Amplifier General Description

More information

Wireless Precision Temperature Sensor Powers Itself, Forms Own Network, Enabling Easy Deployment in Industrial Environments

Wireless Precision Temperature Sensor Powers Itself, Forms Own Network, Enabling Easy Deployment in Industrial Environments Wireless Precision Temperature Sensor Powers Itself, Forms Own Network, Enabling Easy Deployment in Industrial Environments Kris Lokere While the Internet connects people via a worldwide computer network,

More information

Fundamentals of Signature Analysis

Fundamentals of Signature Analysis Fundamentals of Signature Analysis An In-depth Overview of Power-off Testing Using Analog Signature Analysis www.huntron.com 1 www.huntron.com 2 Table of Contents SECTION 1. INTRODUCTION... 7 PURPOSE...

More information

unit : mm With heat sink (see Pd Ta characteristics)

unit : mm With heat sink (see Pd Ta characteristics) Ordering number: EN1321E Monolithic Linear IC LA4261 3.5 W 2-Channel AF Power Amplifier for Home Stereos and Music Centers Features. Minimum number of external parts required (No input capacitor, bootstrap

More information

Solar Energy Conversion using MIAC. by Tharowat Mohamed Ali, May 2011

Solar Energy Conversion using MIAC. by Tharowat Mohamed Ali, May 2011 Solar Energy Conversion using MIAC by Tharowat Mohamed Ali, May 2011 Abstract This work introduces an approach to the design of a boost converter for a photovoltaic (PV) system using the MIAC. The converter

More information

2.996/6.971 Biomedical Devices Design Laboratory Lecture 4: Power Supplies

2.996/6.971 Biomedical Devices Design Laboratory Lecture 4: Power Supplies 2.996/6.971 Biomedical Devices Design Laboratory Lecture 4: Power Supplies Instructor: Dr. Hong Ma Sept. 19, 2007 Key Problem Ideal voltage sources do not exist! Voltage regulators use feedback to reduce

More information

unit:mm 3049A-SIP12H 8.4 7.0

unit:mm 3049A-SIP12H 8.4 7.0 Ordering number:enn1277e Monolithic Linear IC LA4445 5.5W 2-Channel AF Power Amplifier Features Dual channels. Output : 5.5W 2 (typ.) Minimun number of external parts required. Small pop noise at the time

More information

Current Loop Tuning Procedure. Servo Drive Current Loop Tuning Procedure (intended for Analog input PWM output servo drives) General Procedure AN-015

Current Loop Tuning Procedure. Servo Drive Current Loop Tuning Procedure (intended for Analog input PWM output servo drives) General Procedure AN-015 Servo Drive Current Loop Tuning Procedure (intended for Analog input PWM output servo drives) The standard tuning values used in ADVANCED Motion Controls drives are conservative and work well in over 90%

More information

Tamura Closed Loop Hall Effect Current Sensors

Tamura Closed Loop Hall Effect Current Sensors Tamura Closed Loop Hall Effect Current Sensors AC, DC, & Complex Currents Galvanic Isolation Fast Response Wide Frequency Bandwidth Quality & Reliability RoHs Compliance Closed Loop Hall Effect Sensors

More information

TM MP2305 2A, 23V SYNCHRONOUS RECTIFIED, STEP-DOWN CONVERTER

TM MP2305 2A, 23V SYNCHRONOUS RECTIFIED, STEP-DOWN CONVERTER The Future of Analog IC Technology TM TM MP305 A, 3 Synchronous Rectified Step-Down Converter DESCRIPTION The MP305 is a monolithic synchronous buck regulator. The device integrates 30mΩ MOSFETS that provide

More information

W03 Analysis of DC Circuits. Yrd. Doç. Dr. Aytaç Gören

W03 Analysis of DC Circuits. Yrd. Doç. Dr. Aytaç Gören W03 Analysis of DC Circuits Yrd. Doç. Dr. Aytaç Gören ELK 2018 - Contents W01 Basic Concepts in Electronics W02 AC to DC Conversion W03 Analysis of DC Circuits (self and condenser) W04 Transistors and

More information

Controller Design in Frequency Domain

Controller Design in Frequency Domain ECSE 4440 Control System Engineering Fall 2001 Project 3 Controller Design in Frequency Domain TA 1. Abstract 2. Introduction 3. Controller design in Frequency domain 4. Experiment 5. Colclusion 1. Abstract

More information

Designing DC/DC Converters to Meet CCITT Specifications for ISDN Terminals

Designing DC/DC Converters to Meet CCITT Specifications for ISDN Terminals Designing DC/DC Converters to Meet CCITT Specifications for ISDN Terminals AN704 Integrated Services Digital Network (ISDN) standards are a major step towards the realization of a worldwide information

More information

unit:mm 3022A-DIP12F 0.5 0.81 2.54

unit:mm 3022A-DIP12F 0.5 0.81 2.54 Ordering number:enn1718b Monolithic Linear IC LA4550 2-Channel AF Power Amplifier for Radio, Tape Recorder Use Features Low quiescent current. On-chip 2 channels permitting use in stereo and bridge amplifier

More information

Bipolar Junction Transistors

Bipolar Junction Transistors Bipolar Junction Transistors Physical Structure & Symbols NPN Emitter (E) n-type Emitter region p-type Base region n-type Collector region Collector (C) B C Emitter-base junction (EBJ) Base (B) (a) Collector-base

More information