Functional Verification Advancements and UVM

Size: px
Start display at page:

Download "Functional Verification Advancements and UVM"

Transcription

1 Functional Verification Advancements and UVM Functional verification, in VLSI industry, is the task of verifying that the logic design conforms to specification. In simpler words, it is the task of answering the question: Is this design working correctly? The previous question is quite hard to answer when we are dealing with SoCs including a number of gates in the order of 100 million of gates. However, functional verification is an important task in the digital VLSI design flow. As it is performed in the early stages of the project as shown in Figure 1, it helps reduce the problems that appear later in the final stages of the flow and reduces the probability of silicon failure upon fabrication. Figure 1 Standard Digital VLSI Design Cycle (Source: To tackle the emerging verification challenges, a shift in the mindset of dealing with functional verification started around 15 years ago, which led to the appearance of the Universal Verification Methodology (UVM), which we will discuss in this article. Before we discuss it, we need to clear the confusion that you might have about verification and two other different tasks; validation and testing. Validation is the task of checking whether the design meets the customer requirements or not, while verification is more related to design specifications. Verification is done on a software layer, to make sure that the functionality of the design in the stage of pre-silicon behaves according to specifications. Testing on the other hand is performed on the hardware layer -in a lab- in post silicon stage to make sure that design was taped out correctly. Design - Verification Gap During the past decade, the time spent by systems-on-chip developers in functional verification has risen to 60% or more of the development time on some projects. Even developers of smaller chips and FPGAs are having problems with the past verification approaches. In 2001, it was predicted in the International Technology Roadmap for Semiconductor industry that the verification process will fail to keep pace with the design capabilities, as shown in Figure 2. To enhance the functional verification, many proven and promising technologies have been developed, such as: simulation, emulation, object-oriented programming, OOP, constrained random stimulus, coverage based verification, formal verification etc. Figure 2 the verification gap leaves design potential unrealized. This means that the potential for something to go wrong is greater, and the verification task has become exponentially more complex. (Source: SIA Roadmap, 2001)

2 It is worth mentioning that many of these techniques are based on the capabilities of the System Verilog language which combined the RTL capabilities of Verilog and the verification capabilities of open Vera language developed by Synopsys. System Verilog was adopted as an IEEE Standard in Methodologies and tools for constructing and implementing hardware have dramatically improved, while verification processes appear to have not kept pace with the same improvements. As hardware construction is simplified, then there is a trend to have less resources building hardware but same or more resources performing verification. Design teams with 3X verification to hardware design are not unrealistic and that ratio is trending higher. - Bill Grundmann, Fellow at Xilinx, DVcon 2014 architecture creation. The problem with the above statement is that we have too many verification techniques. This has led to several problems, among these problems: 1) Miscommunication: Different teams use different verification techniques. Consequently, the communication between teams is hard. Moreover, it is hard to get a new team member used to the techniques adopted by this team. 2) Reusability Problem: As there is no standard way to do things, it is hard to reuse parts of a project; either horizontally in other projects or vertically in the same project. This is where a methodology appears. We need to get teams and engineers to do the same things in the same ways. A methodology provides guidance on when, where, why and how each technique should be applied for maximum efficiency. It also provides buildingblock libraries, documentation, coding guidelines and lots of examples. A methodology lets the verification engineer focus on the verification planning and test effort rather than complex test-bench The Universal Verification Methodology, UVM, was announced by Accellera, a standards organization specialized in electronic design automation and IC design and manufacturing. It is a complete methodology that includes the best practices for efficient and exhaustive verification. Functional Verification Design Separation To understand how the field of Functional verification has been separated from design, we must return around 15 years ago. In 2000, Verisity Design Inc. introduced a collection of best known verification practices. It was targeted towards the e user community. Later, in 2002, Verisity introduced the first verification library called the e Reuse Methodology, erm. In 2004, the 9-year-old company was featured at the edaforum04, held in Dresden, Germany, in a talk titled "Improving Shareholder Value by Separating Verification from Design". In this presentation, Verisity delivered a solution to provide unique value that can be generated when you separate the concerns of functional verification from design. In 2005, Cadence Design Systems acquired Verisity in a deal that was estimated to be worth $285 million.

3 However, Verisity s efforts were not the only efforts towards neither separating functional verification from design nor reaching a unified verification methodology. In 2003, Synopsys announced its Reuse Verification Methodology library, RVM, for the Vera verification language. It didn t include architecture guidelines and was considered as a subset of the erm. Over time, it was converted into the System Verilog Verification Methodology Manual, VMM, supporting the evolving System Verilog Standard. Later, in 2006, Mentor introduced its Advanced Verification Methodology, AVM. It was the first open-source methodology and the first methodology to adopt the SystemC Transaction- Level Methodology standard. After Cadence s acquisition of Verisity, it started converting the erm to System Verilog, introducing the Universal Reuse Methodology. Not only did it include the proven capabilities of erm, but it also used TLM and was the first open source methodology. In 2008, Cadence and Mentor collaborated to release the Open Verification Methodology, OVM. The impact of OVM was great as it was the first multi-vendor methodology tested against different "Verisity is strong in verification automation and hardware acceleration. Add that to our strengths in simulation and incircuit emulation, we can offer a more complete solution for customers," - Adolph Hunter, group director of corporate communications at Cadence. vendors simulators. This was important due to the fact that System Verilog was in the early stages and many of its constructs lacked clarity. The collaboration in OVM proved to be a very good solution, which made Synopsys collaborate with Cadence and Mentor to introduce a unified methodology. In 2010, OVM was chosen as the basis for the UVM standard. It is tested by all vendors and no more technical comparisons between VMM and OVM are needed. UVM is currently an Accellera standard. It represents an alignment on verification methodology across the industry, supported by the major EDA suppliers and their eco-systems. Universal Verification Methodology The UVM is a complete methodology that codifies the best known verification practices. One of its key principles is to produce reusable verification components called Universal Verification Components, UVCs. It is targeted to verify both the small designs and large IP-based SoCs. The key features of UVM are: 1) Data Structures The UVM provides the ability to clearly partition your verification environment into a set of data objects and components. Moreover, it provides means for setting and getting data values hierarchically, textually printing and graphically viewing objects and automating commonplace activities, such as copying, comparing and packing items, which we will refer to later as transactions. This allows engineers to focus on what objects contain and how they work, instead of the supporting code. Test Test Writer: Selects sequences, configures the environment(s) and runs the test. 2) Stimulus Generation The UVM provides infrastructures and built-in stimulus generation that can be customized to include user-defined transactions and transaction sequences. These sequences can be randomized and Environment (Test-bench) UVC User: Uses the UVCs and integrates them into environments to test different designs. UVCs Developer: Designs the UVCs. Where all the complications occur. Figure 3 The UVM environment different development levels

4 controlled based on the current state of the Design under Test, interface or previously generated data. 3) Building and Running Reusable Test-Benches (Test/Test-bench Separation) The UVM includes well-defined build flows for creating reusable verification environments. Moreover, it includes configuration mechanisms that allow customizing the runtime behavior without modifying the original implementation. This is beneficial when creating a test-bench for a design with different IPs, interfaces or processors. 4) Coverage Model Design and Checking Practices The UVM includes the best-known practices for incorporating functional coverage, in addition to protocol and data checks, into a reusable Universal Verification Component (UVC). 5) User Example The UVM library and user guide include a golden example, based on an understandable, yet complete, protocol called the UBus. A UVM test-bench is composed of UVCs. Each UVC is an encapsulated, ready-to-use, configurable verification component for an interface protocol, sub-module or a full system. A UVC consists of a sequencer and a driver for stimulating the design, a monitor for monitoring the pin-level activity and scoreboard for checking. It can optionally contain a coverage collector. Consequently, UVM enables the verification process to be divided into three different levels, as shown Figure 3. Moreover, UVM provides a framework to achieve coverage-driven verification (CDV) as shown in Figure 4. It combines automatic test generation, self-checking test-benches and coverage metrics. It eliminates the efforts and time spent in creating hundreds of tests and ensures thorough verification using up-front goal setting. Figure 4 Constrained Random Verification Flow (Source: Mentorgraphics Verification Academy) Conclusion The advancements in VLSI Design techniques and methodologies have created a huge gap between design and verification capabilities. This gap led to increasing products cost and time to market, while limiting design capabilities. Consequently, efforts have been exerted over the past 15 years to create and enhance new verification

5 methodologies and techniques to reduce such gap. These efforts led to the development of the Universal Verification Methodologies (UVM). In fact, UVM provides a lot of useful utilities and functionalities to the verification engineers, but the question is Would UVM be sufficient to face the ever-growing design complexities? However, the fact that it is developed through the collaboration of the big three EDA giants and its support and adoption by Accellera as an open source standard indicates that it will be supported for a long period of time. References 1. A new vision of 'scalable' verification EE Times, SIA Roadmap, Improving Shareholder Value by Separating Verification from Design edaforum04, UVM Community, Accellera 5. Mentorgraphics Verification Academy 6. Cadence buys Verisity for $285 million EE Times, 2005 Mustafa Khairallah is a Verification Engineer at Boost Valley for Engineering Services. He is currently a Masters Student at Ain Shams University, Electronics and Communications Department. Mustafa is a graduate of Alexandria University, Electronics and Communications Department, class of 2013, with a grade of Distinction with honors and has one published research paper.

Introduction to Functional Verification. Niels Burkhardt

Introduction to Functional Verification. Niels Burkhardt Introduction to Functional Verification Overview Verification issues Verification technologies Verification approaches Universal Verification Methodology Conclusion Functional Verification issues Hardware

More information

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS WWW.VONBRAUNLABS.COM Issue #1 VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS State Machine Technology IoT Solutions Learn

More information

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH WHITE PAPER METRIC-DRIVEN VERIFICATION ENSURES SOFTWARE DEVELOPMENT QUALITY BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH INTRODUCTION The complexity of electronic systems is rapidly

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Systems on Chip Design

Systems on Chip Design Systems on Chip Design College: Engineering Department: Electrical First: Course Definition, a Summary: 1 Course Code: EE 19 Units: 3 credit hrs 3 Level: 3 rd 4 Prerequisite: Basic knowledge of microprocessor/microcontroller

More information

ARM Webinar series. ARM Based SoC. Abey Thomas

ARM Webinar series. ARM Based SoC. Abey Thomas ARM Webinar series ARM Based SoC Verification Abey Thomas Agenda About ARM and ARM IP ARM based SoC Verification challenges Verification planning and strategy IP Connectivity verification Performance verification

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut.

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut. System-on on-chip Design Flow Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems jouni.tomberg@tut.fi 26.03.2003 Jouni Tomberg / TUT 1 SoC - How and with whom?

More information

PREFACE WHY THIS BOOK IS IMPORTANT

PREFACE WHY THIS BOOK IS IMPORTANT PREFACE If you survey hardware design groups, you will learn that between 60% and 80% of their effort is now dedicated to verification. Unlike synthesizeable coding, there is no particular coding style

More information

Codesign: The World Of Practice

Codesign: The World Of Practice Codesign: The World Of Practice D. Sreenivasa Rao Senior Manager, System Level Integration Group Analog Devices Inc. May 2007 Analog Devices Inc. ADI is focused on high-end signal processing chips and

More information

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com.

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com. White Paper FPGA Prototyping of System-on-Chip Designs The Need for a Complete Prototyping Platform for Any Design Size, Any Design Stage with Enterprise-Wide Access, Anytime, Anywhere S2C Inc. 1735 Technology

More information

FPGA Prototyping Primer

FPGA Prototyping Primer FPGA Prototyping Primer S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com What is FPGA prototyping? FPGA prototyping is the methodology

More information

Understanding DO-254 Compliance for the Verification of Airborne Digital Hardware

Understanding DO-254 Compliance for the Verification of Airborne Digital Hardware White Paper Understanding DO-254 Compliance for the of Airborne Digital Hardware October 2009 Authors Dr. Paul Marriott XtremeEDA Corporation Anthony D. Stone Synopsys, Inc Abstract This whitepaper is

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Software-defined networking

Software-defined networking Business white paper Software-defined networking A pragmatic approach to increasing network agility from HP Network Services Table of contents 3 Executive summary 3 SDN: A new networking paradigm 3 Moving

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Concurrent Hardware/Software Development Platforms Speed System Integration and Bring-Up

Concurrent Hardware/Software Development Platforms Speed System Integration and Bring-Up Concurrent Hardware/Software Development Platforms Speed System Integration and Bring-Up Author: Ran Avinun, Cadence Design Systems, Inc. Hardware/software development platforms such as virtual prototyping,

More information

MPSoC Designs: Driving Memory and Storage Management IP to Critical Importance

MPSoC Designs: Driving Memory and Storage Management IP to Critical Importance MPSoC Designs: Driving Storage Management IP to Critical Importance Design IP has become an essential part of SoC realization it is a powerful resource multiplier that allows SoC design teams to focus

More information

Five best practices for deploying a successful service-oriented architecture

Five best practices for deploying a successful service-oriented architecture IBM Global Services April 2008 Five best practices for deploying a successful service-oriented architecture Leveraging lessons learned from the IBM Academy of Technology Executive Summary Today s innovative

More information

Universal Flash Storage: Mobilize Your Data

Universal Flash Storage: Mobilize Your Data White Paper Universal Flash Storage: Mobilize Your Data Executive Summary The explosive growth in portable devices over the past decade continues to challenge manufacturers wishing to add memory to their

More information

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU ESE566 REPORT3 Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU Nov 19th, 2002 ABSTRACT: In this report, we discuss several recent published papers on design methodologies of core-based

More information

Design for Verification Blueprint for Productivity and Product Quality

Design for Verification Blueprint for Productivity and Product Quality Design for Verification Blueprint for Productivity and Product Quality Rindert Schutten Tom Fitzpatrick Synopsys, Inc. April 2003 2003 Synopsys, Inc. Overview Emerging design and verification technologies

More information

Electronic systems prototyping: Tools and methodologies for a better observability.

Electronic systems prototyping: Tools and methodologies for a better observability. Electronic systems prototyping: Tools and methodologies for a better observability. In an electronic system development flow, a prototyping phase is very diversely valued by the electronic system engineer

More information

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers.

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Nowadays, System Engineers are placed in the centre of two antagonist flows: microelectronic systems

More information

SoC Curricula at Tallinn Technical University

SoC Curricula at Tallinn Technical University SoC Curricula at Tallinn Technical University Margus Kruus, Kalle Tammemäe, Peeter Ellervee Tallinn Technical University Phone: +372-6202250, Fax: +372-6202246 kruus@cc.ttu.ee nalle@cc.ttu.ee lrv@cc.ttu.ee

More information

System / Verification: Performance & Debug Track Abstracts

System / Verification: Performance & Debug Track Abstracts System / Verification: Performance & Debug Track Abstracts VER2.201 Reducing Snapshot Creation Turnaround for UVM- SV Based TB Using MSIE Approach STMicroelectronics Abhishek Jain - STMicroelectronics

More information

Programmable Logic IP Cores in SoC Design: Opportunities and Challenges

Programmable Logic IP Cores in SoC Design: Opportunities and Challenges Programmable Logic IP Cores in SoC Design: Opportunities and Challenges Steven J.E. Wilton and Resve Saleh Department of Electrical and Computer Engineering University of British Columbia Vancouver, B.C.,

More information

Design Patterns for Complex Event Processing

Design Patterns for Complex Event Processing Design Patterns for Complex Event Processing Adrian Paschke BioTec Center, Technical University Dresden, 01307 Dresden, Germany adrian.paschke AT biotec.tu-dresden.de ABSTRACT Currently engineering efficient

More information

Basic Trends of Modern Software Development

Basic Trends of Modern Software Development DITF LDI Lietišķo datorsistēmu programmatūras profesora grupa e-business Solutions Basic Trends of Modern Software Development 2 3 Software Engineering FAQ What is software engineering? An engineering

More information

Embedded Development Tools

Embedded Development Tools Embedded Development Tools Software Development Tools by ARM ARM tools enable developers to get the best from their ARM technology-based systems. Whether implementing an ARM processor-based SoC, writing

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

OSI Seven Layers Model Explained with Examples

OSI Seven Layers Model Explained with Examples OSI Layer Model describes how information is transmitted between networking devices. In this tutorial I will provide a brief introduction of OSI Layer model including its advantage and services. OSI model

More information

NSW Government Standard Approach to Information Architecture. December 2013 v.1.0

NSW Government Standard Approach to Information Architecture. December 2013 v.1.0 NSW Government Standard Approach to Information Architecture December 2013 v.1.0 DOCUMENTATION AND ENDORSEMENT Document history Date Version No. Description Author October 2013 0.1 IM Framework Roadmap

More information

Automating Root-Cause Analysis to Reduce Time to Find Bugs by Up to 50%

Automating Root-Cause Analysis to Reduce Time to Find Bugs by Up to 50% Automating Root-Cause Analysis to Reduce Time to Find Bugs by Up to 50% By Kishore Karnane and Corey Goss, Cadence Design Systems If you re spending more than 50% of your verification effort in debug,

More information

Accellera Systems Initiative completes SystemC AMS 2.0 standard for mixed-signal design of electronic systems

Accellera Systems Initiative completes SystemC AMS 2.0 standard for mixed-signal design of electronic systems INDUSTRY QUOTE SHEET March 19, 2013 Accellera Systems Initiative completes SystemC AMS 2.0 standard for mixed-signal design of electronic systems ASTC With increasing systems integration, most embedded

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

PRESS RELEASE FRAUNHOFER INSTITUTE FOR INTEGRATED CIRCUITS IIS DESIGN AUTOMATION DIVISION EAS. PRESSE RELEASE June 2, 2014 Page 1 5

PRESS RELEASE FRAUNHOFER INSTITUTE FOR INTEGRATED CIRCUITS IIS DESIGN AUTOMATION DIVISION EAS. PRESSE RELEASE June 2, 2014 Page 1 5 PRESS RELEASE June 2, 2014 Page 1 5 European Project VERDI provides Universal Verification Methodology (UVM) in SystemC to Accellera Systems Initiative as new industry standard proposal UVM-SystemC language

More information

A Framework for Automatic Generation of Configuration Files for a Custom Hardware/Software RTOS

A Framework for Automatic Generation of Configuration Files for a Custom Hardware/Software RTOS A Framework for Automatic Generation of Configuration Files for a Custom Hardware/Software Jaehwan Lee, Kyeong Keol Ryu and Vincent John Mooney III School of Electrical and Computer Engineering Georgia

More information

MOVING FROM TRADITIONAL SILO-BASED DATA CENTERS TO

MOVING FROM TRADITIONAL SILO-BASED DATA CENTERS TO MOVING FROM TRADITIONAL SILO-BASED DATA CENTERS TO COMPUTE-CENTERS FOR INCREASED BUSINESS ENABLEMENT Stéphane Hurtaud Partner Information & Technology Risk Deloitte Jesper Nielsen Director Technology &

More information

PowerPlay Power Analysis & Optimization Technology

PowerPlay Power Analysis & Optimization Technology Quartus II Software Questions & Answers Following are the most frequently asked questions about the new features in Altera s Quartus II design software. PowerPlay Power Analysis & Optimization Technology

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

At the Heart of Business Transformation

At the Heart of Business Transformation At the Heart of Business Transformation The Art of Multi-Vendor Outsourcing Getting it Right with Governance, Collaboration, and Metrics Bhaskar Chavali EVP and Chief Delivery Officer, NIIT Technologies

More information

2 (18) - SOFTWARE ARCHITECTURE Service Oriented Architecture - Sven Arne Andreasson - Computer Science and Engineering.

2 (18) - SOFTWARE ARCHITECTURE Service Oriented Architecture - Sven Arne Andreasson - Computer Science and Engineering. Service Oriented Architecture Definition (1) Definitions Services Organizational Impact SOA principles Web services A service-oriented architecture is essentially a collection of services. These services

More information

Product Development Flow Including Model- Based Design and System-Level Functional Verification

Product Development Flow Including Model- Based Design and System-Level Functional Verification Product Development Flow Including Model- Based Design and System-Level Functional Verification 2006 The MathWorks, Inc. Ascension Vizinho-Coutry, avizinho@mathworks.fr Agenda Introduction to Model-Based-Design

More information

OPTIMIZATION OF PROCESS INTEGRATION

OPTIMIZATION OF PROCESS INTEGRATION 1 OPTIMIZATION OF PROCESS INTEGRATION ODVA S VISION OF A UNIFIED COMMUNICATION SOLUTION FOR THE PROCESS INDUSTRIES CONVERGENT COMPATIBLE SCALABLE OPEN Executive Summary Today s process industries share

More information

How Network Operators Do Prepare for the Rise of the Machines

How Network Operators Do Prepare for the Rise of the Machines Internet of Things and the Impact on Transport Networks How Network Operators Do Prepare for the Rise of the Machines Telecommunication networks today were never designed having Inter of Things use cases

More information

Plug. & Play. Various ECUs tested by automated sequences. dspace Magazine 3/2009 dspace GmbH, Paderborn, Germany info@dspace.com www.dspace.

Plug. & Play. Various ECUs tested by automated sequences. dspace Magazine 3/2009 dspace GmbH, Paderborn, Germany info@dspace.com www.dspace. page 34 Delphi Diesel systems Plug & Play Various ECUs tested by automated sequences page 35 Delphi Diesel Systems has successfully developed automated integration and feature tests for various ECUs for

More information

Chapter 13: Verification

Chapter 13: Verification Chapter 13: Verification Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008-2010,

More information

SDN and Streamlining the Plumbing. Nick McKeown Stanford University

SDN and Streamlining the Plumbing. Nick McKeown Stanford University SDN and Streamlining the Plumbing Nick McKeown Stanford University What is SDN? (when we clear away all the hype) A network in which the control plane is physically separate from the forwarding plane.

More information

ASCIA - A Multi-Vendor IC Design System. Thomas Harriehausen

ASCIA - A Multi-Vendor IC Design System. Thomas Harriehausen ASCIA - A Multi-Vendor IC Design System Thomas Harriehausen Siemens AG, Semiconductor Division P.O. Box 80 17 09, D-81617 Munich, Germany Phone: +49 89 4144-4762 September 9, 1996 INTERNATIONAL CADENCE

More information

In Technology, the Key to Success is Delivering What s Next. First.

In Technology, the Key to Success is Delivering What s Next. First. In Technology, the Key to Success is Delivering What s Next. First. Page Our Role is to Help You Get to Market Faster The experience to help you create. Innovate. And deliver what s next Keysight is a

More information

COMPLEXITY AND INTERNATIONALISATION OF INNOVATION

COMPLEXITY AND INTERNATIONALISATION OF INNOVATION COMPLEXITY AND INTERNATIONALISATION OF INNOVATION Why is Chip Design Moving to Asia? Dieter Ernst, East-West Center, Honolulu, Hawaii WIR 05 Geneva January 05 Internationalization of Innovation Unresolved

More information

System-on-Chip Design Verification: Challenges and State-of-the-art

System-on-Chip Design Verification: Challenges and State-of-the-art System-on-Chip Design Verification: Challenges and State-of-the-art Prof. Sofiène Tahar Hardware Verification Group Concordia University Montréal, QC, CANADA MCSOC 12 Aizu-Wakamatsu, Fukushima, Japan September

More information

design Synopsys and LANcity

design Synopsys and LANcity Synopsys and LANcity LANcity Adopts Design Reuse with DesignWare to Bring Low-Cost, High-Speed Cable TV Modem to Consumer Market What does it take to redesign a commercial product for a highly-competitive

More information

Eingebettete Systeme. 4: Entwurfsmethodik, HW/SW Co-Design. Technische Informatik T T T

Eingebettete Systeme. 4: Entwurfsmethodik, HW/SW Co-Design. Technische Informatik T T T Eingebettete Systeme 4: Entwurfsmethodik, HW/SW Co-Design echnische Informatik System Level Design: ools and Flow Refinement of HW/SW Systems ools for HW/SW Co-Design C-based design of HW/SW Systems echnische

More information

Applying 4+1 View Architecture with UML 2. White Paper

Applying 4+1 View Architecture with UML 2. White Paper Applying 4+1 View Architecture with UML 2 White Paper Copyright 2007 FCGSS, all rights reserved. www.fcgss.com Introduction Unified Modeling Language (UML) has been available since 1997, and UML 2 was

More information

Platform-Based Design and the First Generation Dilemma Jiang Xu and Wayne Wolf

Platform-Based Design and the First Generation Dilemma Jiang Xu and Wayne Wolf Platform-Based Design and the First Generation Dilemma Jiang Xu and Wayne Wolf Dept. of ELE, Princeton University Jiangxu, Wolf@ee.Princeton.edu Abstract In this paper, we analyze system-level design methodologies

More information

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation Testing & Verification of Digital Circuits ECE/CS 5745/6745 Hardware Verification using Symbolic Computation Instructor: Priyank Kalla (kalla@ece.utah.edu) 3 Credits Mon, Wed, 1:25-2:45pm, WEB L105 Office

More information

EMA CMDB Assessment Service

EMA CMDB Assessment Service The Promise of the CMDB The Configuration Management Database (CMDB) provides a common trusted source for all IT data used by the business and promises to improve IT operational efficiency and increase

More information

Hardware Verification with the Unified Modeling Language and Vera

Hardware Verification with the Unified Modeling Language and Vera Hardware Verification with the Unified Modeling Language and Vera Kevin Thompson Ladd Williamson Cypress Semiconductor kbt@cypress.com ldw@cypress.com ABSTRACT A method is proposed whereby the Unified

More information

VENDOR SELECTION: WHERE TO BEGIN?

VENDOR SELECTION: WHERE TO BEGIN? VENDOR SELECTION: WHERE TO BEGIN? INTRODUCTION Selecting the right software for your organization, regardless if it s a best-of breed HR or Sales application or a full-fledged ERP system, can be a daunting

More information

Extending the Power of FPGAs. Salil Raje, Xilinx

Extending the Power of FPGAs. Salil Raje, Xilinx Extending the Power of FPGAs Salil Raje, Xilinx Extending the Power of FPGAs The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Development Agenda The Evolution of

More information

AMS Verification at SoC Level: A practical approach for using VAMS vs SPICE views

AMS Verification at SoC Level: A practical approach for using VAMS vs SPICE views AMS Verification at SoC Level: A practical approach for using VAMS vs SPICE views Nitin Pant, Gautham Harinarayan, Manmohan Rana Accellera Systems Initiative 1 Agenda Need for SoC AMS Verification Mixed

More information

Manage Software Development in LabVIEW with Professional Tools

Manage Software Development in LabVIEW with Professional Tools Manage Software Development in LabVIEW with Professional Tools Introduction For many years, National Instruments LabVIEW software has been known as an easy-to-use development tool for building data acquisition

More information

MAJORS: Computer Engineering, Computer Science, Electrical Engineering

MAJORS: Computer Engineering, Computer Science, Electrical Engineering Qualcomm MAJORS: Computer Engineering, Computer Science, Electrical Engineering TITLE: Intern - Software Engineer - Summer 2012 JOB DESCRIPTION: G1889814 Job Title Intern - Software Engineer - Summer 2012

More information

TURN YOUR COMPANY S GOALS INTO AN ACTIONABLE PLAN

TURN YOUR COMPANY S GOALS INTO AN ACTIONABLE PLAN TURN YOUR COMPANY S GOALS INTO AN ACTIONABLE PLAN MOTOROLA PROFESSIONAL SERVICES FOR RETAIL OPERATIONS THE CHALLENGE CONFLICTING NEEDS. CHANGING TECHNOLOGIES. COMPLEX SOLUTIONS. Whether you are a local

More information

The potential shake-up in semiconductor manufacturing business models

The potential shake-up in semiconductor manufacturing business models 19 Fotosearch/Getty Images The potential shake-up in semiconductor manufacturing business models The mobile revolution gave a lift to global semiconductor sales, partially enabled by the fabless-foundry

More information

TURN YOUR COMPANY S GOALS INTO AN ACTIONABLE PLAN

TURN YOUR COMPANY S GOALS INTO AN ACTIONABLE PLAN TURN YOUR COMPANY S GOALS INTO AN ACTIONABLE PLAN MOTOROLA PROFESSIONAL SERVICES FOR TRANSPORTATION AND LOGISTICS OPERATIONS THE CHALLENGE CONFLICTING NEEDS. CHANGING TECHNOLOGIES. COMPLEX SOLUTIONS. Whether

More information

High Performance or Cycle Accuracy?

High Performance or Cycle Accuracy? CHIP DESIGN High Performance or Cycle Accuracy? You can have both! Bill Neifert, Carbon Design Systems Rob Kaye, ARM ATC-100 AGENDA Modelling 101 & Programmer s View (PV) Models Cycle Accurate Models Bringing

More information

Solutions for Mixed-Signal SoC Verification New techniques that are making advanced SoC verification possible

Solutions for Mixed-Signal SoC Verification New techniques that are making advanced SoC verification possible New techniques that are making advanced SoC verification possible By Kishore Karnane and Sathishkumar Balasubramanian, Cadence esign Systems Performing full-chip verification of large mixed-signal systems

More information

Solutions for Quality Management in a Agile and Mobile World

Solutions for Quality Management in a Agile and Mobile World Solutions for Quality Management in a Agile and Mobile World with IBM Rational Quality Management Solutions Realities can stall software-driven innovation Complexities in software delivery compounded by

More information

The Evolution of Manufacturing Software Platforms: Past, Present, and Future

The Evolution of Manufacturing Software Platforms: Past, Present, and Future The Evolution of Manufacturing Software Platforms: Past, Present, and With reliance on the global supplier network, pressures on operating margins, and the increasing complexity of products and processes

More information

FUJITSU Application Modernization Server Based Systems Migration Services

FUJITSU Application Modernization Server Based Systems Migration Services FUJITSU Application Modernization Server Based Systems Migration Services FUJITSU Application Modernization Server Based Systems Migration Services Fujitsu is a leading provider of customer-focused information

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Requirements-driven Verification Methodology for Standards Compliance

Requirements-driven Verification Methodology for Standards Compliance Requirements-driven Verification Methodology for Standards Compliance Serrie-justine Chapman (TVS) serrie@testandverification.com Mike Bartley (TVS) mike@testandverification.com Darren Galpin (Infineon)

More information

From Bus and Crossbar to Network-On-Chip. Arteris S.A.

From Bus and Crossbar to Network-On-Chip. Arteris S.A. From Bus and Crossbar to Network-On-Chip Arteris S.A. Copyright 2009 Arteris S.A. All rights reserved. Contact information Corporate Headquarters Arteris, Inc. 1741 Technology Drive, Suite 250 San Jose,

More information

Assertion Synthesis Enabling Assertion-Based Verification For Simulation, Formal and Emulation Flows

Assertion Synthesis Enabling Assertion-Based Verification For Simulation, Formal and Emulation Flows Assertion Synthesis Enabling Assertion-Based Verification For Simulation, Formal and Emulation Flows Manual Assertion Creation is ABV Bottleneck Assertion-Based Verification adopted by leading design companies

More information

Intent NBI for Software Defined Networking

Intent NBI for Software Defined Networking Intent NBI for Software Defined Networking 1 SDN NBI Challenges According to the architecture definition in Open Networking Foundation (ONF), a Software Defined Network (SDN) includes three vertically

More information

Virtualization s Evolution

Virtualization s Evolution Virtualization s Evolution Expect more from your IT solutions. Virtualization s Evolution In 2009, most Quebec businesses no longer question the relevancy of virtualizing their infrastructure. Rather,

More information

Optimizing Configuration and Application Mapping for MPSoC Architectures

Optimizing Configuration and Application Mapping for MPSoC Architectures Optimizing Configuration and Application Mapping for MPSoC Architectures École Polytechnique de Montréal, Canada Email : Sebastien.Le-Beux@polymtl.ca 1 Multi-Processor Systems on Chip (MPSoC) Design Trends

More information

Select the right configuration management database to establish a platform for effective service management.

Select the right configuration management database to establish a platform for effective service management. Service management solutions Buyer s guide: purchasing criteria Select the right configuration management database to establish a platform for effective service management. All business activities rely

More information

Open networks: Turning the vision into reality

Open networks: Turning the vision into reality Open networks: Turning the vision into reality Today s growing ecosystem of open networking technologies helps IT leaders deliver exceptional agility, scalability and manageability to their data center

More information

The Software Process. The Unified Process (Cont.) The Unified Process (Cont.)

The Software Process. The Unified Process (Cont.) The Unified Process (Cont.) The Software Process Xiaojun Qi 1 The Unified Process Until recently, three of the most successful object-oriented methodologies were Booch smethod Jacobson s Objectory Rumbaugh s OMT (Object Modeling

More information

Cisco Network Optimization Service

Cisco Network Optimization Service Service Data Sheet Cisco Network Optimization Service Optimize your network for borderless business evolution and innovation using Cisco expertise and leading practices. New Expanded Smart Analytics Offerings

More information

A Tailored Approach to Effective and Efficient Software Process Maturity Improvement

A Tailored Approach to Effective and Efficient Software Process Maturity Improvement A Tailored Approach to Effective and Efficient Software Process Maturity Improvement Richard Léveillé Synopsys Inc. Mountain View, California, USA Richard.Leveille@synopsys.com Abstract A well defined

More information

The Scalable Enterprise: By Jimmy D. Pike, Scalable Enterprise Architect, Office of the CTO; and Drew EngstRom, E x e c u t i v e S u m m a r y

The Scalable Enterprise: By Jimmy D. Pike, Scalable Enterprise Architect, Office of the CTO; and Drew EngstRom, E x e c u t i v e S u m m a r y Server Virtualization in the Scalable Enterprise Server virtualization a core enabling technology for computing infrastructure now and into the future can bring measurable benefits to corporations today,

More information

There are a number of factors that increase the risk of performance problems in complex computer and software systems, such as e-commerce systems.

There are a number of factors that increase the risk of performance problems in complex computer and software systems, such as e-commerce systems. ASSURING PERFORMANCE IN E-COMMERCE SYSTEMS Dr. John Murphy Abstract Performance Assurance is a methodology that, when applied during the design and development cycle, will greatly increase the chances

More information

Configuration Management One Bite At A Time

Configuration Management One Bite At A Time Configuration Management One Bite At A Time By Kai Holthaus, ITIL v3 Expert and Director for Third Sky, Inc. Implementing Configuration Management can be a daunting challenge. While the potential payback

More information

Hardware Virtualization for Pre-Silicon Software Development in Automotive Electronics

Hardware Virtualization for Pre-Silicon Software Development in Automotive Electronics Hardware Virtualization for Pre-Silicon Software Development in Automotive Electronics Frank Schirrmeister, Filip Thoen fschirr@synopsys.com Synopsys, Inc. Market Trends & Challenges Growing electronics

More information

VtRES 2013. Towards Hardware Embedded Virtualization Technology: Architectural Enhancements to an ARM SoC. ESRG Embedded Systems Research Group

VtRES 2013. Towards Hardware Embedded Virtualization Technology: Architectural Enhancements to an ARM SoC. ESRG Embedded Systems Research Group Towards Hardware Embedded Virtualization Technology: Architectural Enhancements to an ARM SoC VtRES 2013 P. Garcia, T. Gomes, F. Salgado, J. Monteiro, A. Tavares Summary 1. Current landscape in 2. Embedded

More information

CDC UNIFIED PROCESS PRACTICES GUIDE

CDC UNIFIED PROCESS PRACTICES GUIDE Purpose The purpose of this document is to provide guidance on the practice of Modeling and to describe the practice overview, requirements, best practices, activities, and key terms related to these requirements.

More information

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor A Starter Guide Joseph Yiu November 2014 version 1.02 27 Nov 2014 1 - Background Since the ARM Cortex -M0 Processor was released a few years

More information

Development With ARM DS-5. Mervyn Liu FAE Aug. 2015

Development With ARM DS-5. Mervyn Liu FAE Aug. 2015 Development With ARM DS-5 Mervyn Liu FAE Aug. 2015 1 Support for all Stages of Product Development Single IDE, compiler, debug, trace and performance analysis for all stages in the product development

More information

How Router Technology Shapes Inter-Cloud Computing Service Architecture for The Future Internet

How Router Technology Shapes Inter-Cloud Computing Service Architecture for The Future Internet How Router Technology Shapes Inter-Cloud Computing Service Architecture for The Future Internet Professor Jiann-Liang Chen Friday, September 23, 2011 Wireless Networks and Evolutional Communications Laboratory

More information

SERVICE-ORIENTED MODELING FRAMEWORK (SOMF ) SERVICE-ORIENTED SOFTWARE ARCHITECTURE MODEL LANGUAGE SPECIFICATIONS

SERVICE-ORIENTED MODELING FRAMEWORK (SOMF ) SERVICE-ORIENTED SOFTWARE ARCHITECTURE MODEL LANGUAGE SPECIFICATIONS SERVICE-ORIENTED MODELING FRAMEWORK (SOMF ) VERSION 2.1 SERVICE-ORIENTED SOFTWARE ARCHITECTURE MODEL LANGUAGE SPECIFICATIONS 1 TABLE OF CONTENTS INTRODUCTION... 3 About The Service-Oriented Modeling Framework

More information

Integrated Testing Solution Using SAP Solution Manager, HP-QC/QTP and SAP TAO

Integrated Testing Solution Using SAP Solution Manager, HP-QC/QTP and SAP TAO Integrated Testing Solution Using SAP Solution Manager, HP-QC/QTP and SAP TAO Applies to: SAP Test Acceleration and Optimization, HP Quality Center, HP Quick Test Professional, SAP Solution Manager. For

More information

Building Your EDI Modernization Roadmap

Building Your EDI Modernization Roadmap Simplify and Accelerate e-business Integration Building Your EDI Modernization Roadmap Background EDI Modernization Drivers Lost revenue due to missing capabilities or poor scorecard ratings High error

More information

Taking the cloud to your datacenter

Taking the cloud to your datacenter Taking the cloud to your datacenter Microsoft Azure Stack Version 1.0 1/29/2016 CONTENTS Cloud is a paradigm, not a place... 2 Cloud computing on your terms... 3 Microsoft Azure Stack vision... 4 Reinventing

More information