System / Verification: Performance & Debug Track Abstracts

Size: px
Start display at page:

Download "System / Verification: Performance & Debug Track Abstracts"

Transcription

1 System / Verification: Performance & Debug Track Abstracts VER2.201 Reducing Snapshot Creation Turnaround for UVM- SV Based TB Using MSIE Approach STMicroelectronics Abhishek Jain - STMicroelectronics Deepak Chauhan - STMicroelectronics Vishal Jain - STMicroelectronics Piyush Kumar Gupta - STMicroelectronics While developing and running the testcases in the SystemVerilog UVM based Verification Environments, Verification Engineers need to do recompilation and re- elaboration of the Verification Environment multiple times. If we are using single snapshot for both RTL and Verification Environment then, it takes lot of time to create the snapshot (having both RTL and Verification Environment) even for a small change in the testcase/sequence file and it results in delay in Verification activity. In this session we will discuss Multi- Snapshot Incremental Elaboration approach (MSIE) from cadence to improve the snapshot creation time of SystemVerilog UVM based Verification Environments. We found significant reduction in the snapshot creation time after using proposed approach which results in significant saving of the time in development of SoC verification environment. VER2.202 A Novel Approach for Low Power Verification Using Randomization on a Given Power and Clocking Matrix Freescale Semiconductor Siddharth Garg - Freescale Semiconductor Naveen Jakhar - Freescale Semiconductor Amit Bathla - Freescale Semiconductor Naveen Srivastava - Freescale Semiconductor With the growing complexity of SoCs, multiple clock sources are available to support the design. Moreover depending upon the power and functionality requirements, we can have different power modes in SoCs. The challenge is to verify all the clock sources configurations in all modes by sweeping past across all modes and having different clock configurations in each mode. We need to cover m*(2^n)*p cases, where m is the number of power modes, n is the number of clock sources and p is the number of possible system clock sources. It will be very tedious to cover all these possible combinations by directed test cases. In this session, an approach, based on the concept of adjacency matrices, is presented in which clocking and mode verification has been done together using randomization which provides full coverage for the valid combinations.

2 VER2.203 Usage of SV 2012 Real Number Models with UVM for Enhanced Mixed- Signal Verification: A Case Study Analog Devices Dushyant Juneja - Analog Devices Jogendra Patel - Analog Devices Sandeep Bojja - Analog Devices Kunal Jani - Analog Devices Swati Ramachandran - Cadence Design Systems The work intends to present a case study on enhancing digital mixed signal verification using the facilities provided by the latest IEEE standard, specifically the nettype syntax for Real Number Modeling (RNM). The standard introduces fuller analog system level modeling capacities as opposed to prevalent RNM technologies that have a strict signal chain orientation. This enables us to lower the burden on slower methods such as co- simulations, while enabling exhaustive mixed signal regressions. In this session, we intend to give an overview of the standard the facilities and the gotchas brought about by the standard, and present the effort it took to leverage this to accomplish mixed signal metric driven verification (MDV- MS) for an Ultra- Low Power Mixed Signal SoC. VER2.204 Low Power UTP Based Efficient and Effective Verification of Complex Power Intent in NextGen SoCs Freescale Semiconductor Deepak Mahajan - Freescale Semiconductor Abhinav Nawal - Freescale Semiconductor Saloni Raina - Freescale Semiconductor Ever increasing complexity low power design architecture and corresponding significant increase in scope of low power intent verification, necessitates a well defined verification plan which leverages all avenues of verification. An Unified Test Plan (UTP) based approach for a selection of design features to be verified, as well as the optimum platform for verification like Digital Verification, Analog and Mixed Simulations, Pre Silicon Emulation can ensure we achieve comprehensive verification of low power intent in an efficient manner with coverage of all planned items. This paper showcases the UTP (Unified Test plan) based Low Power verification methodology employed for Effectively and Efficiently verifying the power intent in a next generation automotive SoC.

3 VER2.205 Performance Guidelines and Techniques to Get Breakthrough Simulation Speeds in Module/SoC Level Environments for Functional Verification. Samsung Raghavendra Sosle Padmanabha - Samsung Kotragoud H G - Samsung Ann Sheena - Samsung Akshay Surendran - Samsung Vijay Kumar Birange - Cadence Design Systems VER2.206 Unleashing SimVision's Power Through TK Plugins SanDisk India Design Private Limited Omprakash Jha - SanDisk As the complexity of Verification grows and time- to- market shrinks, it becomes imperative the Verification Engineer s time and effort is used more efficiently. DV engineers debugging complex scenarios often have to spend time to gather meaningful data from the simulation, and then interpret them to conclude the nature of the issue. If the burden of data- collection and translation of data to useful information can be automated and presented to the user in an interactive Graphical User Interface, then the debug time can be reduced significantly. In this session, we will see how in a short time we built a classic CPU disassembler and debugger plugin, which is an interactive GUI made with TCL- Tk and SimVision callbacks, which reduced our debug time and effort.

4 VER2.207 Modeling and Verification Using System Verilog in Virtuoso and Incisive Enterprise Simulator (NCSIM) SilabTech Tom Thomas - SilabTech Sharath N - SilabTech Nanda Kumar U - SilabTech Design of Mixed Signal IP is becoming increasingly complex and compute- intensive that it is critical to detect architectural or functional issues early in the design cycle so that there is minimal debug- redesign cycles at later stages of IP design. Verifying complete behavior of the IP, before creating transistor level design, is one method to achieve this required confidence. Also, in mixed signal designs, RTL and AFE (Analog Front End) designs depend heavily on each other. So validating the correctness of analog- digital interface is also a key concern. In this session we discuss a methodology to model and verify real behavior of a mixed- signal IP using SystemVerilog in Virtuoso and NCsim. We explain this approach with a 12.5Gbps SERDES transmitter design. VER2.208 SoC Gate Level Simulations: Taming the Beast! Open- Silicon Abhijit Dongre - Open- Silicon Mitesh Thakkar - Open- Silicon Gate Level Simulations (GLS) for complex SOC s are considered as very challenging among all verification tasks as they have greater debug complexity, complex timing checks and long runtimes. In addition lack of planning, knowledge of tool options and information on GLS makes it much more difficult. Significant time is wasted in trying out different tool option and debugging tool related issues, rather than concentration on real issues. This paper discuss commonly faced GLS issues and their solutions that will allow engineers to complete GLS on time.

5 VER2.209 Smart Debug Using Incisive Debug Analyzer (IDA) Texas Instruments Harish M - Texas Instruments Vijay Kumar Birange - Cadence Design Systems Vinay Rawat - Cadence Design Systems Debugging of IP random verification failure have been challenging due the complexity of the design/test bench. Typically iteration of the failure seed may be required to isolate failure point or just to get information on the failure. In case of re- used IP DV environments, significant time is spent to get an idea on the environment, simulation flow etc. Significant time is lost in these redundant iterations, environment debug. This session details the evaluation of cadence Incisive Debug Analyzer on one of the IPs. This can greatly reduce the debug effort. The simulation performance impact also has been analyzed and defined a usemodel based on the feature set / performance. Also describes the methods to fine tune the simulation performance.

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH WHITE PAPER METRIC-DRIVEN VERIFICATION ENSURES SOFTWARE DEVELOPMENT QUALITY BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH INTRODUCTION The complexity of electronic systems is rapidly

More information

Automating Root-Cause Analysis to Reduce Time to Find Bugs by Up to 50%

Automating Root-Cause Analysis to Reduce Time to Find Bugs by Up to 50% Automating Root-Cause Analysis to Reduce Time to Find Bugs by Up to 50% By Kishore Karnane and Corey Goss, Cadence Design Systems If you re spending more than 50% of your verification effort in debug,

More information

Solutions for Mixed-Signal SoC Verification New techniques that are making advanced SoC verification possible

Solutions for Mixed-Signal SoC Verification New techniques that are making advanced SoC verification possible New techniques that are making advanced SoC verification possible By Kishore Karnane and Sathishkumar Balasubramanian, Cadence esign Systems Performing full-chip verification of large mixed-signal systems

More information

Introduction to Functional Verification. Niels Burkhardt

Introduction to Functional Verification. Niels Burkhardt Introduction to Functional Verification Overview Verification issues Verification technologies Verification approaches Universal Verification Methodology Conclusion Functional Verification issues Hardware

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Efficient Project Management and Verification Sign-off Using Questa Verification Management

Efficient Project Management and Verification Sign-off Using Questa Verification Management Efficient Project Management and Verification Sign-off Using Questa Verification Management by Suresh Babu P., Chakravarthi M.G., Test and Verification Solutions India Pvt. Ltd. ABSTRACT Test and Verification

More information

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS WWW.VONBRAUNLABS.COM Issue #1 VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS State Machine Technology IoT Solutions Learn

More information

Understanding DO-254 Compliance for the Verification of Airborne Digital Hardware

Understanding DO-254 Compliance for the Verification of Airborne Digital Hardware White Paper Understanding DO-254 Compliance for the of Airborne Digital Hardware October 2009 Authors Dr. Paul Marriott XtremeEDA Corporation Anthony D. Stone Synopsys, Inc Abstract This whitepaper is

More information

Using a Generic Plug and Play Performance Monitor for SoC Verification

Using a Generic Plug and Play Performance Monitor for SoC Verification Using a Generic Plug and Play Performance Monitor for SoC Verification Dr. Ambar Sarkar Kaushal Modi Janak Patel Bhavin Patel Ajay Tiwari Accellera Systems Initiative 1 Agenda Introduction Challenges Why

More information

A GUIDE TO USING CONTINUOUS INTEGRATION WITHIN THE VERIFICATION ENVIRONMENT

A GUIDE TO USING CONTINUOUS INTEGRATION WITHIN THE VERIFICATION ENVIRONMENT A GUIDE TO USING CONTINUOUS INTEGRATION WITHIN THE VERIFICATION ENVIRONMENT Jason Sprott, Verilab Ltd., UK André Winkelmann, Verilab Ltd., UK Gordon McGregor, Nitero Inc., USA Abstract In 2012, we introduced

More information

Gate-Level Simulation Methodology

Gate-Level Simulation Methodology Improving Gate-Level Simulation Performance Author: Gagandeep Singh, Cadence Design Systems, Inc. The increase in design sizes and the complexity of timing checks at 40nm technology nodes and below is

More information

Engineering Change Order (ECO) Support in Programmable Logic Design

Engineering Change Order (ECO) Support in Programmable Logic Design White Paper Engineering Change Order (ECO) Support in Programmable Logic Design A major benefit of programmable logic is that it accommodates changes to the system specification late in the design cycle.

More information

Accellera Systems Initiative completes SystemC AMS 2.0 standard for mixed-signal design of electronic systems

Accellera Systems Initiative completes SystemC AMS 2.0 standard for mixed-signal design of electronic systems INDUSTRY QUOTE SHEET March 19, 2013 Accellera Systems Initiative completes SystemC AMS 2.0 standard for mixed-signal design of electronic systems ASTC With increasing systems integration, most embedded

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

Tangible and Rapid Adoption of Cloud Technologies

Tangible and Rapid Adoption of Cloud Technologies Die Cast Framework for your Cloud Adoption Tangible and Rapid Adoption of Cloud Technologies 1 Theme: Technologies for redefining India 2 Keywords: Cloud Adoption, SaaS, Rapid Adoption 3 Abstract Enterprise

More information

Universal Flash Storage: Mobilize Your Data

Universal Flash Storage: Mobilize Your Data White Paper Universal Flash Storage: Mobilize Your Data Executive Summary The explosive growth in portable devices over the past decade continues to challenge manufacturers wishing to add memory to their

More information

Using a Generic Plug and Play Performance Monitor for SoC Verification

Using a Generic Plug and Play Performance Monitor for SoC Verification Using a Generic Plug and Play Performance Monitor for SoC Verification Ajay Tiwari, ASIC Engineer, einfochips, Ahmedabad, India (ajay.tiwari@einfochips.com) Bhavin Patel, ASIC Engineer, einfochips, Ahmedabad,

More information

ARM Webinar series. ARM Based SoC. Abey Thomas

ARM Webinar series. ARM Based SoC. Abey Thomas ARM Webinar series ARM Based SoC Verification Abey Thomas Agenda About ARM and ARM IP ARM based SoC Verification challenges Verification planning and strategy IP Connectivity verification Performance verification

More information

BENEFITS OF MODELING WITH A FORMAL LANGUAGE. Emmanuel Gaudin emmanuel.gaudin@pramadev.com

BENEFITS OF MODELING WITH A FORMAL LANGUAGE. Emmanuel Gaudin emmanuel.gaudin@pramadev.com BENEFITS OF MODELING WITH A FORMAL LANGUAGE Emmanuel Gaudin emmanuel.gaudin@pramadev.com PragmaDev French software editor based in Paris Dedicated to the development of RTDS: a modeling and testing tool

More information

Software Process Improvement TRIZ and Six Sigma (Using Contradiction Matrix and 40 Principles)

Software Process Improvement TRIZ and Six Sigma (Using Contradiction Matrix and 40 Principles) Software Process Improvement TRIZ and Six Sigma (Using Contradiction Matrix and 40 Principles) Garikapati Pavan Kumar Email: pavan.garikapati@patni.com ABSTRACT This paper proposes an innovative application

More information

Improving Compute Farm Throughput in Electronic Design Automation (EDA) Solutions

Improving Compute Farm Throughput in Electronic Design Automation (EDA) Solutions Improving Compute Farm Throughput in Electronic Design Automation (EDA) Solutions System Throughput in the EDA Design Flow Abstract Functional verification of Silicon on Chip (SoC) designs can contribute

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

Concurrent Hardware/Software Development Platforms Speed System Integration and Bring-Up

Concurrent Hardware/Software Development Platforms Speed System Integration and Bring-Up Concurrent Hardware/Software Development Platforms Speed System Integration and Bring-Up Author: Ran Avinun, Cadence Design Systems, Inc. Hardware/software development platforms such as virtual prototyping,

More information

Lynx Design System Delivering Higher Productivity and Predictability in IC Design

Lynx Design System Delivering Higher Productivity and Predictability in IC Design Datasheet Delivering Higher Productivity and Predictability in IC Design User Benefits ``Visualization technology provides intuitive, easy-to-use fl ow creation, execution automation and project reporting

More information

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification Advanced design simulation for fast and accurate verification The Cadence Virtuoso Analog Design Environment family of products provides a comprehensive array of capabilities for the electrical analysis

More information

Assertion Synthesis Enabling Assertion-Based Verification For Simulation, Formal and Emulation Flows

Assertion Synthesis Enabling Assertion-Based Verification For Simulation, Formal and Emulation Flows Assertion Synthesis Enabling Assertion-Based Verification For Simulation, Formal and Emulation Flows Manual Assertion Creation is ABV Bottleneck Assertion-Based Verification adopted by leading design companies

More information

VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011

VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011 VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011 Amit Gupta President and CEO, Solido Design Automation Abstract This report covers the results of an independent worldwide custom IC design survey. The survey

More information

A Methodology and the Tool for Testing SpaceWire Routing Switches Session: SpaceWire test and verification

A Methodology and the Tool for Testing SpaceWire Routing Switches Session: SpaceWire test and verification A Methodology and the Tool for Testing SpaceWire Routing Switches Session: SpaceWire test and verification Elena Suvorova Saint-Petersburg University of Aerospace Instrumentation. 67, B. Morskaya, Saint-

More information

Semiconductor design Outsourcing: Global trends and Indian perspective. Vasudevan A Date: Aug 29, 2003

Semiconductor design Outsourcing: Global trends and Indian perspective. Vasudevan A Date: Aug 29, 2003 Semiconductor design Outsourcing: Global trends and Indian perspective Vasudevan A Date: Aug 29, 2003 Role of Semiconductors in Products Source: IC Insights Semiconductor content in end product increasing

More information

Use, Analysis, and Debug of SystemVerilog Assertions

Use, Analysis, and Debug of SystemVerilog Assertions Use, Analysis, and Debug of SystemVerilog Assertions Agenda Introduction Source Code Tracing Assertion Checking Analyzing and Debugging Waveform Active Annotation Property Result Table Standards: The Life

More information

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor A Starter Guide Joseph Yiu November 2014 version 1.02 27 Nov 2014 1 - Background Since the ARM Cortex -M0 Processor was released a few years

More information

Testing Intelligent Device Communications in a Distributed System

Testing Intelligent Device Communications in a Distributed System Testing Intelligent Device Communications in a Distributed System David Goughnour (Triangle MicroWorks), Joe Stevens (Triangle MicroWorks) dgoughnour@trianglemicroworks.com United States Smart Grid systems

More information

Hunting Asynchronous CDC Violations in the Wild

Hunting Asynchronous CDC Violations in the Wild Hunting Asynchronous Violations in the Wild Chris Kwok Principal Engineer May 4, 2015 is the #2 Verification Problem Why is a Big Problem: 10 or More Clock Domains are Common Even FPGA Users Are Suffering

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

System Software Integration: An Expansive View. Overview

System Software Integration: An Expansive View. Overview Software Integration: An Expansive View Steven P. Smith Design of Embedded s EE382V Fall, 2009 EE382 SoC Design Software Integration SPS-1 University of Texas at Austin Overview Some Definitions Introduction:

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

The structured application of advanced logging techniques for SystemVerilog testbench debug and analysis. By Bindesh Patel and Amanda Hsiao.

The structured application of advanced logging techniques for SystemVerilog testbench debug and analysis. By Bindesh Patel and Amanda Hsiao. Logging makes sense for testbench debug The structured application of advanced logging techniques for SystemVerilog testbench debug and analysis. By Bindesh Patel and Amanda Hsiao. SystemVerilog provides

More information

SOFTWARE TESTING TRAINING COURSES CONTENTS

SOFTWARE TESTING TRAINING COURSES CONTENTS SOFTWARE TESTING TRAINING COURSES CONTENTS 1 Unit I Description Objectves Duration Contents Software Testing Fundamentals and Best Practices This training course will give basic understanding on software

More information

Notes and terms of conditions. Vendor shall note the following terms and conditions/ information before they submit their quote.

Notes and terms of conditions. Vendor shall note the following terms and conditions/ information before they submit their quote. Specifications for ARINC 653 compliant RTOS & Development Environment Notes and terms of conditions Vendor shall note the following terms and conditions/ information before they submit their quote. 1.

More information

Testhouse Training Portfolio

Testhouse Training Portfolio Testhouse Training Portfolio TABLE OF CONTENTS Table of Contents... 1 HP LoadRunner 4 Days... 2 ALM Quality Center 11-2 Days... 7 HP QTP Training Course 2 Days... 10 QTP/ALM Intensive Training Course 4

More information

Big Data - Infrastructure Considerations

Big Data - Infrastructure Considerations April 2014, HAPPIEST MINDS TECHNOLOGIES Big Data - Infrastructure Considerations Author Anand Veeramani / Deepak Shivamurthy SHARING. MINDFUL. INTEGRITY. LEARNING. EXCELLENCE. SOCIAL RESPONSIBILITY. Copyright

More information

Verification. Formal. OneSpin 360 LaunchPad Adaptive Formal Platform. www.onespin-solutions.com May 2015

Verification. Formal. OneSpin 360 LaunchPad Adaptive Formal Platform. www.onespin-solutions.com May 2015 Formal Verification OneSpin 360 LaunchPad Adaptive Formal Platform www.onespin-solutions.com May 2015 Copyright OneSpin Solutions 2015 Slide 2 Automated Apps: Enabling Mainstream Formal Verification Apps

More information

Software Quality Testing Course Material

Software Quality Testing Course Material Prepared by Vipul Jain Software Quality Testing Course Material Course content is designed and will be taught in such a manner in order to make a person job ready in around 10-12 weeks. Classroom sessions

More information

TESSY Automated dynamic module/unit and. CTE Classification Tree Editor. integration testing of embedded applications. for test case specifications

TESSY Automated dynamic module/unit and. CTE Classification Tree Editor. integration testing of embedded applications. for test case specifications TESSY Automated dynamic module/unit and integration testing of embedded applications CTE Classification Tree Editor for test case specifications Automated module/unit testing and debugging at its best

More information

Quartus Prime Standard Edition Handbook Volume 3: Verification

Quartus Prime Standard Edition Handbook Volume 3: Verification Quartus Prime Standard Edition Handbook Volume 3: Verification Subscribe QPS5V3 101 Innovation Drive San Jose, CA 95134 www.altera.com Simulating Altera Designs 1 QPS5V3 Subscribe This document describes

More information

Formal Software Testing. Terri Grenda, CSTE IV&V Testing Solutions, LLC www.ivvts.com

Formal Software Testing. Terri Grenda, CSTE IV&V Testing Solutions, LLC www.ivvts.com Formal Software Testing Terri Grenda, CSTE IV&V Testing Solutions, LLC www.ivvts.com Scope of Testing Find defects early Remove defects prior to production Identify Risks Unbiased opinion When Should Testing

More information

Hardware Virtualization for Pre-Silicon Software Development in Automotive Electronics

Hardware Virtualization for Pre-Silicon Software Development in Automotive Electronics Hardware Virtualization for Pre-Silicon Software Development in Automotive Electronics Frank Schirrmeister, Filip Thoen fschirr@synopsys.com Synopsys, Inc. Market Trends & Challenges Growing electronics

More information

PowerPlay Power Analysis & Optimization Technology

PowerPlay Power Analysis & Optimization Technology Quartus II Software Questions & Answers Following are the most frequently asked questions about the new features in Altera s Quartus II design software. PowerPlay Power Analysis & Optimization Technology

More information

Quality Assurance Training Program

Quality Assurance Training Program Quality Assurance Training Program Introduction/Summary: This 5-day course focuses on understanding and developing various skills required by QA Developer, preparing to use various tools and techniques

More information

MAJORS: Computer Engineering, Computer Science, Electrical Engineering

MAJORS: Computer Engineering, Computer Science, Electrical Engineering Qualcomm MAJORS: Computer Engineering, Computer Science, Electrical Engineering TITLE: Intern - Software Engineer - Summer 2012 JOB DESCRIPTION: G1889814 Job Title Intern - Software Engineer - Summer 2012

More information

CASSANDRA: Version: 1.1.0 / 1. November 2001

CASSANDRA: Version: 1.1.0 / 1. November 2001 CASSANDRA: An Automated Software Engineering Coach Markus Schacher KnowGravity Inc. Badenerstrasse 808 8048 Zürich Switzerland Phone: ++41-(0)1/434'20'00 Fax: ++41-(0)1/434'20'09 Email: markus.schacher@knowgravity.com

More information

Service Virtualization:

Service Virtualization: Service Virtualization: Reduce the time and cost to develop and test modern, composite applications Business white paper Table of contents Why you need service virtualization 3 The challenges of composite

More information

Workshop on Post-silicon Debug: Technologies, Methodologies, and Best Practices

Workshop on Post-silicon Debug: Technologies, Methodologies, and Best Practices Wisam Kadry IBM Research, Haifa 7 June 2012 Workshop on Post-silicon Debug: Technologies, Methodologies, and Best Practices DAC 2012, Post-silicon Debug Workshop Thanks to Mr. Amir Nahir IBM Research Haifa,

More information

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com.

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com. White Paper FPGA Prototyping of System-on-Chip Designs The Need for a Complete Prototyping Platform for Any Design Size, Any Design Stage with Enterprise-Wide Access, Anytime, Anywhere S2C Inc. 1735 Technology

More information

Quartus II Handbook Volume 3: Verification

Quartus II Handbook Volume 3: Verification Quartus II Handbook Volume 3: Verification Subscribe QII5V3 2015.05.04 101 Innovation Drive San Jose, CA 95134 www.altera.com Simulating Altera Designs 1 2015.05.04 QII5V3 Subscribe This document describes

More information

Chapter 13: Verification

Chapter 13: Verification Chapter 13: Verification Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008-2010,

More information

Performance Testing for SAP Applications. Selection criteria for stress and load testing SAP Solutions

Performance Testing for SAP Applications. Selection criteria for stress and load testing SAP Solutions Introduction Companies are constantly evolving their SAP applications and business processes launching new products and services, complying with regulations and industry standards, and applying technical

More information

Product Development Flow Including Model- Based Design and System-Level Functional Verification

Product Development Flow Including Model- Based Design and System-Level Functional Verification Product Development Flow Including Model- Based Design and System-Level Functional Verification 2006 The MathWorks, Inc. Ascension Vizinho-Coutry, avizinho@mathworks.fr Agenda Introduction to Model-Based-Design

More information

Performance Testing Uncovered

Performance Testing Uncovered Performance Testing Uncovered First Presented at: NobleStar Systems Corp. London, UK 26 Sept. 2003 Scott Barber Chief Technology Officer PerfTestPlus, Inc. Performance Testing Uncovered Page 1 Performance

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

A Faster, More Accurate Approach for System-Level Performance Verification of a Wireless RFIC Design

A Faster, More Accurate Approach for System-Level Performance Verification of a Wireless RFIC Design A Faster, More Accurate Approach for System-Level Performance Verification of a Wireless RFIC Design By Nebabie Kebebew and Rich Davis, Cadence Wireless RFIC designs are growing more complex, increasing

More information

Demand Response Management System ABB Smart Grid solution for demand response programs, distributed energy management and commercial operations

Demand Response Management System ABB Smart Grid solution for demand response programs, distributed energy management and commercial operations Demand Response Management System ABB Smart Grid solution for demand response programs, distributed energy management and commercial operations Utility Smart Grid programs seek to increase operational

More information

Robot Task-Level Programming Language and Simulation

Robot Task-Level Programming Language and Simulation Robot Task-Level Programming Language and Simulation M. Samaka Abstract This paper presents the development of a software application for Off-line robot task programming and simulation. Such application

More information

GUI Test Automation How-To Tips

GUI Test Automation How-To Tips www. routinebot.com AKS-Labs - Page 2 - It s often said that First Impression is the last impression and software applications are no exception to that rule. There is little doubt that the user interface

More information

Latest Trends in Testing. Ajay K Chhokra

Latest Trends in Testing. Ajay K Chhokra Latest Trends in Testing Ajay K Chhokra Introduction Software Testing is the last phase in software development lifecycle which has high impact on the quality of the final product delivered to the customer.

More information

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler DAC 2008 Philip Watson Philip Watson Implementation Environment Program Manager ARM Ltd Background - Who Are We? Processor

More information

U.S. Navy Automated Software Testing

U.S. Navy Automated Software Testing U.S. Navy Automated Software Testing Application of Standards to the Automated Test and Re-Test (ATRT) Effort Object Management Group (OMG) Technical Meeting June 2007 Approved for public release; distribution

More information

SoMA. Automated testing system of camera algorithms. Sofica Ltd

SoMA. Automated testing system of camera algorithms. Sofica Ltd SoMA Automated testing system of camera algorithms Sofica Ltd February 2012 2 Table of Contents Automated Testing for Camera Algorithms 3 Camera Algorithms 3 Automated Test 4 Testing 6 API Testing 6 Functional

More information

Open Source and Commercial Performance Testing Tools

Open Source and Commercial Performance Testing Tools Open Source and Commercial Performance Testing Tools Palla Vinod Kumar Accenture Delivery Center for Technology in India Accenture, its logo, and High Performance Delivered are trademarks of Accenture.

More information

Accelerating software testing effectiveness using Agile methodologies..

Accelerating software testing effectiveness using Agile methodologies.. Accelerating software testing effectiveness using Agile methodologies.. How can testing be completed faster, and more efficiently, within short iterations? The Problem It is a painful paradox that while

More information

CycurHSM An Automotive-qualified Software Stack for Hardware Security Modules

CycurHSM An Automotive-qualified Software Stack for Hardware Security Modules CycurHSM An Automotive-qualified Software Stack for Hardware Security Modules Dr. Frederic Stumpf, ESCRYPT GmbH Embedded Security, Stuttgart, Germany 1 Introduction Electronic Control Units (ECU) are embedded

More information

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers.

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Nowadays, System Engineers are placed in the centre of two antagonist flows: microelectronic systems

More information

Introduction to Automated Testing

Introduction to Automated Testing Introduction to Automated Testing What is Software testing? Examination of a software unit, several integrated software units or an entire software package by running it. execution based on test cases

More information

How To Test On The Dsms Application

How To Test On The Dsms Application Performance Test Summary Report Skills Development Management System December 2014 Performance Test report submitted to National Skill Development Corporation Version Date Name Summary of Changes 1.0 22/12/2014

More information

System-on-Chip Design with Virtual Components

System-on-Chip Design with Virtual Components FEATURE ARTICLE Thomas Anderson System-on-Chip Design with Virtual Components Here in the Recycling Age, designing for reuse may sound like a great idea. But with increasing requirements and chip sizes,

More information

Welcome to Pericom s PCIe and USB3 ReDriver/Repeater Product Training Module.

Welcome to Pericom s PCIe and USB3 ReDriver/Repeater Product Training Module. Welcome to Pericom s PCIe and USB3 ReDriver/Repeater Product Training Module. 1 Pericom has been a leader in providing Signal Integrity Solutions since 2005, with over 60 million units shipped Platforms

More information

An overview of Rapid System Prototyping today

An overview of Rapid System Prototyping today An overview of Rapid System Prototyping today F. Kordon & J. Henkel 1. The role of Rapid System Prototyping The International Technology Roadmap for Semiconductors [3] predicts chip complexities by the

More information

Concept Engineering Adds JavaScript-based Web Capabilities to Nlview at DAC 2016

Concept Engineering Adds JavaScript-based Web Capabilities to Nlview at DAC 2016 KAL - Large IP Cores: Memory Controllers: SD/SDIO 2.0/3.0 Controller SDRAM Controller DDR/DDR2/DDR3 SDRAM Controller NAND Flash Controller Flash/EEPROM/SRAM Controller Dear , Concept Engineering

More information

Reduce QA Cost by Improving Productivity & Test Optimization

Reduce QA Cost by Improving Productivity & Test Optimization Reduce QA Cost by Improving Productivity & Test Optimization Author(s) Rajesh Kumar (rajesh_kumar_5@uhc.com) and Ajay K Chhokra (ajay_k_chhokra@uhc.com) UnitedHealth Group Information Systems, Unitech

More information

What Is Specific in Load Testing?

What Is Specific in Load Testing? What Is Specific in Load Testing? Testing of multi-user applications under realistic and stress loads is really the only way to ensure appropriate performance and reliability in production. Load testing

More information

RF System Design and Analysis Software Enhances RF Architectural Planning

RF System Design and Analysis Software Enhances RF Architectural Planning From April 2010 High Frequency Electronics Copyright 2010 Summit Technical Media, LLC RF System Design and Analysis Software Enhances RF Architectural Planning By Dale D. Henkes Applied Computational Sciences

More information

PRESS RELEASE FRAUNHOFER INSTITUTE FOR INTEGRATED CIRCUITS IIS DESIGN AUTOMATION DIVISION EAS. PRESSE RELEASE June 2, 2014 Page 1 5

PRESS RELEASE FRAUNHOFER INSTITUTE FOR INTEGRATED CIRCUITS IIS DESIGN AUTOMATION DIVISION EAS. PRESSE RELEASE June 2, 2014 Page 1 5 PRESS RELEASE June 2, 2014 Page 1 5 European Project VERDI provides Universal Verification Methodology (UVM) in SystemC to Accellera Systems Initiative as new industry standard proposal UVM-SystemC language

More information

Getting off the ground when creating an RVM test-bench

Getting off the ground when creating an RVM test-bench Getting off the ground when creating an RVM test-bench Rich Musacchio, Ning Guo Paradigm Works rich.musacchio@paradigm-works.com,ning.guo@paradigm-works.com ABSTRACT RVM compliant environments provide

More information

Fault Localization in a Software Project using Back- Tracking Principles of Matrix Dependency

Fault Localization in a Software Project using Back- Tracking Principles of Matrix Dependency Fault Localization in a Software Project using Back- Tracking Principles of Matrix Dependency ABSTRACT Fault identification and testing has always been the most specific concern in the field of software

More information

Testing. Chapter. A Fresh Graduate s Guide to Software Development Tools and Technologies. CHAPTER AUTHORS Michael Atmadja Zhang Shuai Richard

Testing. Chapter. A Fresh Graduate s Guide to Software Development Tools and Technologies. CHAPTER AUTHORS Michael Atmadja Zhang Shuai Richard A Fresh Graduate s Guide to Software Development Tools and Technologies Chapter 3 Testing CHAPTER AUTHORS Michael Atmadja Zhang Shuai Richard PREVIOUS CONTRIBUTORS : Ang Jin Juan Gabriel; Chen Shenglong

More information

CROSS INDUSTRY PegaRULES Process Commander. Bringing Insight and Streamlining Change with the PegaRULES Process Simulator

CROSS INDUSTRY PegaRULES Process Commander. Bringing Insight and Streamlining Change with the PegaRULES Process Simulator CROSS INDUSTRY PegaRULES Process Commander Bringing Insight and Streamlining Change with the PegaRULES Process Simulator Executive Summary All enterprises aim to increase revenues and drive down costs.

More information

Scala Storage Scale-Out Clustered Storage White Paper

Scala Storage Scale-Out Clustered Storage White Paper White Paper Scala Storage Scale-Out Clustered Storage White Paper Chapter 1 Introduction... 3 Capacity - Explosive Growth of Unstructured Data... 3 Performance - Cluster Computing... 3 Chapter 2 Current

More information

VHDL Test Bench Tutorial

VHDL Test Bench Tutorial University of Pennsylvania Department of Electrical and Systems Engineering ESE171 - Digital Design Laboratory VHDL Test Bench Tutorial Purpose The goal of this tutorial is to demonstrate how to automate

More information

Automatic compression measurement using network analyzers

Automatic compression measurement using network analyzers Automatic compression measurement using network analyzers Introduction The dynamic range of an amplifier is determined by noise figure and compression. In multi carrier applications third order intercept

More information

Performance Analysis and Visualization of SystemC Models. Adam Donlin and Thomas Lenart Xilinx Research

Performance Analysis and Visualization of SystemC Models. Adam Donlin and Thomas Lenart Xilinx Research Performance Analysis and Visualization of SystemC Models Adam Donlin and Thomas Lenart Xilinx Research Overview Performance Analysis!= Functional Verification Analysis and Visualization Overview Simulation

More information

GEDAE TM - A Graphical Programming and Autocode Generation Tool for Signal Processor Applications

GEDAE TM - A Graphical Programming and Autocode Generation Tool for Signal Processor Applications GEDAE TM - A Graphical Programming and Autocode Generation Tool for Signal Processor Applications Harris Z. Zebrowitz Lockheed Martin Advanced Technology Laboratories 1 Federal Street Camden, NJ 08102

More information

PowerPC Microprocessor Clock Modes

PowerPC Microprocessor Clock Modes nc. Freescale Semiconductor AN1269 (Freescale Order Number) 1/96 Application Note PowerPC Microprocessor Clock Modes The PowerPC microprocessors offer customers numerous clocking options. An internal phase-lock

More information

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source)

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source) FPGA IMPLEMENTATION OF 4D-PARITY BASED DATA CODING TECHNIQUE Vijay Tawar 1, Rajani Gupta 2 1 Student, KNPCST, Hoshangabad Road, Misrod, Bhopal, Pin no.462047 2 Head of Department (EC), KNPCST, Hoshangabad

More information

Enhanced System Integration Test Automation Tool (E-SITAT) Author: Akshat Sharma

Enhanced System Integration Test Automation Tool (E-SITAT) Author: Akshat Sharma Enhanced System Integration Test Automation Tool (E-SITAT) Author: Akshat Sharma c o n t e n t s Enhanced System Integration Test Automation Tool (E-SITAT) 1. Overview... 3 2. Use of E-SITAT for Automation...

More information

International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research)

International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Engineering, Business and Enterprise

More information

Design and Verification of Nine port Network Router

Design and Verification of Nine port Network Router Design and Verification of Nine port Network Router G. Sri Lakshmi 1, A Ganga Mani 2 1 Assistant Professor, Department of Electronics and Communication Engineering, Pragathi Engineering College, Andhra

More information

Recommendations for Performance Benchmarking

Recommendations for Performance Benchmarking Recommendations for Performance Benchmarking Shikhar Puri Abstract Performance benchmarking of applications is increasingly becoming essential before deployment. This paper covers recommendations and best

More information

The Advanced JTAG Bridge. Nathan Yawn nathan.yawn@opencores.org 05/12/09

The Advanced JTAG Bridge. Nathan Yawn nathan.yawn@opencores.org 05/12/09 The Advanced JTAG Bridge Nathan Yawn nathan.yawn@opencores.org 05/12/09 Copyright (C) 2008-2009 Nathan Yawn Permission is granted to copy, distribute and/or modify this document under the terms of the

More information