EEL601: COMPUTER ARCHITECTURE. Turbo Majumder Department of Electrical Engineering Indian Institute of Technology Delhi

Size: px
Start display at page:

Download "EEL601: COMPUTER ARCHITECTURE. Turbo Majumder Department of Electrical Engineering Indian Institute of Technology Delhi"

Transcription

1 EEL601: COMPUTER ARCHITECTURE Turbo Majumder Department of Electrical Engineering Indian Institute of Technology Delhi

2 INSTRUCTION LEVEL PARALLELISM Reference: Chapter 3 and Appendix C of Computer Architecture: A Quantitative Approach, Fifth Edition by J. L. Hennessy and D. A. Patterson

3 What is ILP? Exploit inherent parallelism from instructions. Easy Exploit parallelism within a basic block Linear code, no branches within We don t have too many lines of such code. Have to look for something else Two approaches: Static: Relies on compiler to exploit parallelism at compile-time. e.g., ARM Cortex-A8, Intel Itanium Dynamic: Relies on hardware to discover and exploit parallelism at run-time. e.g., Intel Core series, ARM Cortex-A9 Pipeline CPI = ideal pipeline CPI + structural hazard stalls + data hazard stalls + control stalls

4 ILP Techniques Technique A. Forwarding and bypassing B. Delayed branches with simple branch scheduling C. Scoreboarding D. Loop unrolling E. Branch prediction F. Dynamic scheduling with renaming G. Hardware speculation Reduces A. Potential data hazard stalls B. Control hazard stalls C. Data hazard stalls from true dependences D. Control hazard stalls E. Control stalls F. Stalls from data hazards, output dependences, antidependences (RAW, WAW, WAR) G. Data hazard and control hazard stalls

5 Basic Dynamic Scheduling Scoreboarding (from CDC 6600) Recall: MIPS pipeline (IF-ID-EX-MEM-WB) checks for structural and data hazards during ID. What s new here? We allow an instruction to to begin execution even though its predecessor is stalled, subject to certain conditions. What conditions? Decode; Wait till no structural hazard exists. Wait till no data hazard exists. In-order issue Out-of-order execution Out-of-order completion Issue Read Operands Instruction Decode (MIPS)

6 Preliminaries Output dependences (possible WAW hazard), antidependences (possible WAR hazard) [unlike MIPS] Example DIV.D ADD.D SUB.D SUB.D F0, F2, F4 F6, F0, F8 F8, F8, F10 F8, F12, F14 Goal is to maintain IPC of 1 (no structural hazards). Issue independent instructions. Multiple instructions executing simultaneously Multiple functional units 2 multipliers, 1 adder, 1 division unit, 1 integer unit (load/store, integer operation, branch)

7 Method Every instruction has to go through scoreboard. Central monitor for all functional units for data dependences If dependence found, find when instruction can be issued Also, for output dependences and antidependences Controls write-back Steps: (for FP) Issue: FU free no structural hazard Result not in D no WAW Else, stall IF buffer full? IF stall

8 Method (continued) Steps (continued) Read operands Source operands available? Resolves RAW hazard Execution Possibly many cycles, e.g. MUL.D, DIV.D FU done? Write result Destination of FU source of some previous instruction not yet read? Hold on prevents WAR hazard

9 Scoreboard Example L.D F6, 34(R2) ; 1 EX cycle L.D F2, 45(R3) MUL.D F0, F2, F4 ; 20 EX cycles SUB.D F8, F6, F2 ; 2 EX cycles DIV.D F10, F0, F6 ; 40 EX cycles ADD.D F6, F8, F2 ; 2 EX cycles Instruction status: {Issue, Read operands, Execution, Write result} FU status: {Busy, Op, Fi, Fj, Fk, Qi, Qj, Rj, Rk} Register result status: Fn {{FU},Φ}, n = 0, 2, 4,

10 Scoreboard Example Each instruction has issued or pending issue Instruction status L.D L.D MUL.D SUB.D DIV.D ADD.D Instruction F6, 34(R2) F2, 45(R3) F0, F2, F4 F8, F6, F2 F10, F0, F6 F6, F8, F2 Issue Read operands Execution complete Write result FU status FU name Busy Op Fi Fj Fk Qj Qk Rj Rk Integer Y L.D F2 R3 N Mult1 Y MUL.D F0 F2 F4 Integer N Y Mult2 N Add Y SUB.D F8 F6 F2 Integer Y N Divide Y DIV.D F10 F0 F6 Mult1 N Y Register result status F0 F2 F4 F6 F8 F10 F12 F30 FU Mult1 Integer Add Divide

11 Scoreboard Example Just before MUL.D goes to write result Instruction status L.D L.D MUL.D SUB.D DIV.D ADD.D Instruction F6, 34(R2) F2, 45(R3) F0, F2, F4 F8, F6, F2 F10, F0, F6 F6, F8, F2 Issue Read operands Execution complete Write result FU status FU name Busy Op Fi Fj Fk Qj Qk Rj Rk Integer N Mult1 Y MUL.D F0 F2 F4 N N Mult2 N Add Y ADD.D F8 F6 F2 N N Divide Y DIV.D F10 F0 F6 Mult1 N Y Register result status F0 F2 F4 F6 F8 F10 F12 F30 FU Mult1 Add Divide

12 Scoreboard Example Just before DIV.D goes to write result Instruction status L.D L.D MUL.D SUB.D DIV.D ADD.D Instruction F6, 34(R2) F2, 45(R3) F0, F2, F4 F8, F6, F2 F10, F0, F6 F6, F8, F2 Issue Read operands Execution complete Write result FU status FU name Busy Op Fi Fj Fk Qj Qk Rj Rk Integer N Mult1 N Mult2 N Add N Divide Y DIV.D F10 F0 F6 N N FU Register result status F0 F2 F4 F6 F8 F10 F12 F30 Divide

13 Scoreboard Performance Amount of parallelism available In code that has been already optimised by compiler Number of scoreboard entries Size of look-ahead window Number and types of FUs More FUs? Antidependences and output dependences WAW and WAR stalls Gets worse with dynamic scheduling where multiple iterations of a loop overlap.

14 Costs-Benefits of Dynamic Scheduling Benefits Makes compiled code independent of pipeline structure. Compiler needs no knowledge of microarchitecture. Dependences unknown at compile-time, such as memory references, are handled. Unpredictable run-time delays, such as cache misses, are handled by executing independent instructions out-oforder. Costs Increased hardware complexity More difficult to preserve exception behaviour Possible imprecise exceptions

15 Tomasulo s Algorithm: Concepts Tracks when operands are available Register renaming Minimises WAW and WAR hazards Example: DIV.D ADD.D S.D SUB.D MUL.D F0, F2, F4 F6, F0, F8 F6, 0(R1) F8, F10, F14 F6, F10, F8 antidependence Also, name/output dependence with F6 After register renaming DIV.D F0, F2, F4 ADD.D S, F0, F8 S.D S, 0(R1) SUB.D T, F10, F14 MUL.D F6, F10, T

16 Register Renaming Implemented using reservation stations (RS) RS: {RSID, Busy, Op, Vj, Vk, Qj, Qk, A} RS fetches and buffers an operand as soon as it becomes available (not necessarily involving register file). Pending instructions designate the RS to which they will send their output. Result values broadcast on a result bus, called the common data bus (CDB). Only the last output updates the register file. As instructions are issued, the register specifiers are renamed with RSID. May be more reservation stations than registers. Load and store buffers act as RS for memory operations.

17 Tomasulo s Algorithm: Schematic

18 Steps of Tomasulo s Algorithm Issue Get next instruction from FIFO instruction queue If RS and operand values available, issue the instruction to the RS If operand values not available, keep track of FUs producing them. If RS not available, stall the instruction. Execute When operand becomes available, store it in any reservation station(s) awaiting it. When all operands are ready, start execution. No RAW hazards. Multiple instructions may be ready to execute. Loads and store maintained in program order through effective address. No instruction allowed to initiate execution until all branches that precede it in program order have completed. Write result Write result on CDB into reservation stations and store buffers Stores must wait until effective address and value are available.

19 Tomasulo s Algorithm: Nitty-Gritties RS / load-store buffer / register data structures contain tags that detect and eliminate hazards. Register names are discarded. Only values and/or pointers to other RS producing the value RSID or load-store buffer ID: Virtual register IDs referenced by these tags Issued instruction: Source operand RSID Number of RS > Number of actual registers All WAW and WAR hazards are eliminated CDB: FU-computed result directly goes to RS, store buffer and/or FP registers Similar to forwarding and bypassing in a statically scheduled pipeline. Also, not limited by individual register bus contention as in a centralised register file.

20 Tomasulo s Algorithm: Example L.D F6, 32(R2) L.D F2, 44(R3) MUL.D F0, F2, F4 ; 6 EX cycles SUB.D F8, F2, F6 ; 2 EX cycles DIV.D F10, F0, F6 ; 12 EX cycles ADD.D F6, F8, F2 ; 2 EX cycles Even for memory Instruction status: {Issue, Execute, Write result} RS status: {RSID, Busy, Op, Vj, Vk, Qj, Qk, A} Register status: {Qi RSID}

21 Tomasulo s Algorithm: Example Just after first L.D writes result Instruction status L.D L.D MUL.D SUB.D DIV.D ADD.D Instruction F6, 32(R2) F2, 44(R3) F0, F2, F4 F8, F2, F6 F10, F0, F6 F6, F8, F2 Issue Execute Write result RS status RSID Busy Op Vj Vk Qj Qk A Load1 N Load2 Y L.D 44+Regs[R3] Add1 Y SUB.D Mem[32+Regs[R2]] Load2 Add2 Y ADD.D Add1 Load2 Add3 N Mult1 Y MUL.D Regs[F4] Load2 Mult2 Y DIV.D Mem[32+Regs[R2]] Mult1 Register status Field F0 F2 F4 F6 F8 F10 F12 F30 Qi Mult1 Load2 Add2 Add1 Mult2

22 Tomasulo s Algorithm: Example Just before MUL.D writes result Instruction status L.D L.D MUL.D SUB.D DIV.D ADD.D Instruction F6, 32(R2) F2, 44(R3) F0, F2, F4 F8, F2, F6 F10, F0, F6 F6, F8, F2 Issue Execute Write result RS status RSID Busy Op Vj Vk Qj Qk A Load1 N Load2 N Add1 N Add2 N Add3 N Mult1 Y MUL.D Mem[44+Regs[R3]] Regs[F4] Mult2 Y DIV.D Mem[32+Regs[R2]] Mult1 Register status Field F0 F2 F4 F6 F8 F10 F12 F30 Qi Mult1 Mult2

23 Dynamic Loop Unrolling Loop: L.D F0, 0(R1) MUL.D F4, F0, F2 S.D F4, 0(R1) DADDIU R1, R1, -8 BNE R1, R2, loop If we predict branches are taken, we can unroll this loop dynamically. Ignore DADDIU which is an integer operation for the purposes of this example. With loop unrolling, multiple instructions can be issued per cycle to achieve a sustained CPI close to 1.0. With 4 cycles for MUL.D, two iterations are enough; with 6, more iterations need to be unrolled. Load: check effective address against all store buffers ( A ) Prevents RAW hazard Store: check effective address against all load and store buffers ( A ) Prevents WAW and WAR hazard

24 Dynamic Loop Unrolling All instructions issued; none completed Instruction status Instruction Issue Execute Write result Iteration L.D F0, 0(R1) 1 MUL.D F4, F0, F2 1 S.D F4, 0(R1) 1 L.D F0, 0(R1) 2 MUL.D F4, F0, F2 2 S.D F4, 0(R1) 2 RS status RSID Busy Op Vj Vk Qj Qk A Load1 Y L.D Regs[R1]+0 Load2 Y L.D Regs[R1]-8 Add1 N Add2 N Add3 N Mult1 Y MUL.D Regs[F2] Load1 Mult2 Y MUL.D Regs[F2] Load2 Store1 Y S.D Regs[R1] Mult1 Store2 Y S.D Regs[R1]-8 Mult2 Register status Field F0 F2 F4 F6 F8 F10 F12 F30 Qi Load2 Mult2

25 Tomasulo s Algorithm: Summary Branch prediction accuracy is important. More on this later. Complexity Necessitates high-speed associative buffers for tag matching. Control logic is involved, too. Single CDB can be a bottleneck. Cache miss latencies are nicely hidden by out-of-order execution. Scheduling non-numeric code: register renaming, dynamic scheduling, speculation Compiler-independent optimisation: multiple instruction issue

26 Hardware-Based Speculation Accurate branch prediction not sufficient to sustain CPI of 1.0 in wide issue processors. Keep on executing assuming prediction is correct. Fetch, issue and execute by speculation. Need way to handle incorrect prediction Key ideas: Dynamic branch prediction Speculation to execute instruction before control dependence resolution Dynamic scheduling for combinations of basic blocks W.r.t. Tomasulo s algorithm Separation of result bypassing through CDB and actual instruction completion is needed.

27 Hardware-Based Speculation (continued) Using bypassed value Speculative register read Actual register file or memory update when instruction no longer speculative additional instruction commit step Commit is in-order Separation of execution completion/bypassing and instruction commit: reorder buffer (ROB) ROB is the purgatory between instruction executing completion and instruction commit. Supplies operands to other (speculative) instructions meanwhile. Subsumes store buffer. Result tagged using ROB entry no. rather than RSID.

28 Tomasulo with Speculation: Schematic

29 Tomasulo with Speculation: Steps Issue If empty RS and empty slot in ROB; else stall Operands in registers or ROB sent to RS ROB buffers busy RS tagged with ROB entry: for sending result through CDB Execute Monitor CDB for available operands Store needs to compute only effective address Write result Write to ROB via CDB based on tag RS free Store: Value stored in ROB, else keep monitoring CDB Commit From head of ROB (instruction with result value in buffer) Store: write value to memory Incorrect branch prediction: flush ROB

30 Tomasulo with Speculation: Example L.D F6, 32(R2) L.D F2, 44(R3) MUL.D F0, F2, F4 SUB.D F8, F2, F6 DIV.D F10, F0, F6 ADD.D F6, F8, F2 ; 6 EX cycles ; 2 EX cycles ; 12 EX cycles ; 2 EX cycles Unlike plain Tomasulo s algorithm, no instruction completes unless MUL.D commits. Precise exception behaviour Very important for exceptions like page-faults. Should be transparent to the user

31 Tomasulo with Speculation: Example Just before MUL.D commits Reorder buffer (ROB) Entry Busy Instruction State Destination V (Value) 0 N L.D F6, 32(R2) Commit F6 Mem[32+Regs[R2]] 1 N L.D F2, 44(R3) Commit F2 Mem[44+Regs[R3]] 2 Y MUL.D F0, F2, F4 Write result F0 ROB[1].V * Regs[F4] 3 Y SUB.D F8, F2, F6 Write result F8 ROB[1].V - ROB[0].V 4 Y DIV.D F10, F0, F6 Execute F10 5 Y ADD.D F6, F8, F2 Write result F6 ROB[3].V + ROB[1].V RS status RSID Busy Op Vj Vk Qj Qk Dest A Load1 N Load2 N Add1 N Add2 N Add3 N Mult1 N MUL.D Mem[44+Regs[R3]] Regs[F4] ROB[2] Mult2 Y DIV.D Mem[32+Regs[R2]] ROB[2] ROB[4] FP Register status F0 F2 F4 F6 F8 F10 F12 F30 Qi ROB[2] ROB[5] ROB[3] ROB[4] Busy Y N N Y Y Y N N

32 Beyond IPC 1.0 CPI less than 1.0 Multiple issue processors Statically scheduled superscalar, e.g. ARM Cortex-A8 Mostly embedded processors Dynamically scheduled superscalar with speculation, e.g. Intel Core series (i3, i5, i7) Mostly general purpose processors Very Long Instruction Word (VLIW), e.g. TI C6x, Intel Itanium Mostly DSP, scientific computing processors

33 VLIW Typical 5 independent operations in one instruction word 1 integer, 2 floating point, 2 memory references Uncover parallelism Loop unrolling Local scheduling (of straight line code) Global scheduling Example Loop: L.D F0, 0(R1) ADD.D F4, F0, F2 S.D F4, 0(R1) DADDUI R1, R1, #-8 BNE R1, R2, Loop

34 VLIW (continued) 9 cycles 23 operations IPC Efficiency: 23/ % Many empty slots Many more FP registers needed compared to MIPS Cannot guarantee code will look like this.

35 VLIW Problems Code size explosion Loop unrolling over many iterations to uncover ILP Unused instruction bits Encoding can help. Hazard detection FU stall processor stall Cache stalls difficult to predict at compile-time Some hardware checks introduced Code compatibility Compiled code dependent on pipeline structure and FU latency Issue width Extension: Vector Processors Works much better when simple loops need unrolling. VLIW better for less structured code

36 Putting All Together: Dynamic Scheduling, Multiple Issue and Speculation Modern microarchitectures Dynamic scheduling + multiple issue + speculation Two approaches for multiple issue Assign reservation stations and update pipeline control table in half clock cycles Only supports 2 instructions/clock Design logic to handle any possible dependencies between the instructions Every possible combination of dependent instructions must be analysed. Complexity increases as square of the number of instructions/clock. Pipelining can only help us so much. Issue logic becomes the bottleneck.

37 Modern Microarchitecture Schematic Issue and completion logic must be enhanced to support multiple instructions per clock.

38 Multiple Issue: Steps Assign a reservation station and reorder buffer for every instruction that might be issued in the next bundle. Can be done independent of actual instruction type If instructions mostly of the same type (same FU), RS(FU) will be full. Break the bundle. Analyse all dependencies among all instructions to be issued. Within-bundle dependencies ROB entry used to update RS of dependent instruction All of it in parallel in one clock cycle! Similar for multiple commit

39 Multiple Issue: Example Loop: LD R2, 0(R1) ; R2=array element DADDIU R2, R2, #1 ; increment R2 SD R2, 0(R1) ; store result DADDIU R1, R1, #8 ; increment pointer BNE R2, R3, Loop ; branch if not last element Separate integer FUs for ALU and effective address calculation 2 instructions issued/committed per clock

40 Multiple Issue: Example Without Speculation

41 Multiple Issue: Example With Speculation

42 Upstream Optimisation Increasing Instruction Fetch Bandwidth Number of instructions fetched per cycle Average throughput Handling branches and jumps Methods: Branch target buffer Return address predictor Integrated instruction fetch Monolithic unit Standard for most multiple issue processors now

43 Branch Target Buffers Similar to cache Match current PC, get next PC

44 Branch Target Buffers (continued) Penalties with BTB (in MIPS pipeline) Instruction in buffer Optimisation Prediction Actual branch Penalty cycles Yes Taken Taken 0 Yes Taken Not taken 2 No - Taken 2 No - Not taken 0 Target instruction(s) in addition to target PC Eliminate fetch time Larger BTB Branch folding Hit Unconditional branch? Instruction substituted from BTB zero cycle latency

45 Return Address Predictor Indirect jumps from procedure returns Procedure calls from multiple locations Branch prediction accuracy very low Accurate prediction possible if RAP buffer depth max procedure call depth

46 Integrated Instruction Fetch Integrated branch prediction Branch prediction part of IF unit Pushed upstream Instruction prefetch Fetch ahead of time from the instruction cache Instruction memory access and buffering Multiple cache line access Latency hidden through prefetch On-demand instruction provision for issue unit

47 Speculation: Opportunities and Challenges Register renaming (extending physical register set) vs. reorder buffers Slightly easier commit, but other problems (e.g. deallocating a physical renaming register) How much speculation is good? L2 or TLB miss Do not speculate (large penalty) Speculating through multiple branches High branch frequency High branch clustering Slow FU Energy efficiency challenge Instructions speculated but not needed Undoing the effect of wrong speculation Value prediction Address aliasing prediction

48 Only true data dependences Only true control depen dence Limitations of ILP Perfect scenario Infinite register renaming no WAW, WAR Perfect branch prediction Perfect jump prediction Perfect memory address alias analysis Perfect caches Realistic limits (Optimistic) Up to 64 instructions issued per clock with no issue restrictions (biggest bottleneck); Window size n: number of comparisons: Tournament (branch) predictor with 1K entries and 16-entry RAP Perfect memory address disambiguation Renaming registers (64 integer + 64 FP) e.g., Intel Core i7 ROB has 128 entries

49 Limitations of ILP (continued)

50 Thread-Level Parallelism Multithreading Only private state duplication Separate PC, registers and page-table per thread Fine-grained Switch threads at every clock Round-robin Hides latencies from both short and long stalls Slows down an individual thread Coarse-grained Switch threads only on costly stalls Simultaneous (SMT) Multiple instructions from independent threads dynamically scheduled and issued

51 Thread-Level Parallelism: Example

Solution: start more than one instruction in the same clock cycle CPI < 1 (or IPC > 1, Instructions per Cycle) Two approaches:

Solution: start more than one instruction in the same clock cycle CPI < 1 (or IPC > 1, Instructions per Cycle) Two approaches: Multiple-Issue Processors Pipelining can achieve CPI close to 1 Mechanisms for handling hazards Static or dynamic scheduling Static or dynamic branch handling Increase in transistor counts (Moore s Law):

More information

INSTRUCTION LEVEL PARALLELISM PART VII: REORDER BUFFER

INSTRUCTION LEVEL PARALLELISM PART VII: REORDER BUFFER Course on: Advanced Computer Architectures INSTRUCTION LEVEL PARALLELISM PART VII: REORDER BUFFER Prof. Cristina Silvano Politecnico di Milano cristina.silvano@polimi.it Prof. Silvano, Politecnico di Milano

More information

More on Pipelining and Pipelines in Real Machines CS 333 Fall 2006 Main Ideas Data Hazards RAW WAR WAW More pipeline stall reduction techniques Branch prediction» static» dynamic bimodal branch prediction

More information

VLIW Processors. VLIW Processors

VLIW Processors. VLIW Processors 1 VLIW Processors VLIW ( very long instruction word ) processors instructions are scheduled by the compiler a fixed number of operations are formatted as one big instruction (called a bundle) usually LIW

More information

Module: Software Instruction Scheduling Part I

Module: Software Instruction Scheduling Part I Module: Software Instruction Scheduling Part I Sudhakar Yalamanchili, Georgia Institute of Technology Reading for this Module Loop Unrolling and Instruction Scheduling Section 2.2 Dependence Analysis Section

More information

Static Scheduling. option #1: dynamic scheduling (by the hardware) option #2: static scheduling (by the compiler) ECE 252 / CPS 220 Lecture Notes

Static Scheduling. option #1: dynamic scheduling (by the hardware) option #2: static scheduling (by the compiler) ECE 252 / CPS 220 Lecture Notes basic pipeline: single, in-order issue first extension: multiple issue (superscalar) second extension: scheduling instructions for more ILP option #1: dynamic scheduling (by the hardware) option #2: static

More information

Thread level parallelism

Thread level parallelism Thread level parallelism ILP is used in straight line code or loops Cache miss (off-chip cache and main memory) is unlikely to be hidden using ILP. Thread level parallelism is used instead. Thread: process

More information

WAR: Write After Read

WAR: Write After Read WAR: Write After Read write-after-read (WAR) = artificial (name) dependence add R1, R2, R3 sub R2, R4, R1 or R1, R6, R3 problem: add could use wrong value for R2 can t happen in vanilla pipeline (reads

More information

EE482: Advanced Computer Organization Lecture #11 Processor Architecture Stanford University Wednesday, 31 May 2000. ILP Execution

EE482: Advanced Computer Organization Lecture #11 Processor Architecture Stanford University Wednesday, 31 May 2000. ILP Execution EE482: Advanced Computer Organization Lecture #11 Processor Architecture Stanford University Wednesday, 31 May 2000 Lecture #11: Wednesday, 3 May 2000 Lecturer: Ben Serebrin Scribe: Dean Liu ILP Execution

More information

Administration. Instruction scheduling. Modern processors. Examples. Simplified architecture model. CS 412 Introduction to Compilers

Administration. Instruction scheduling. Modern processors. Examples. Simplified architecture model. CS 412 Introduction to Compilers CS 4 Introduction to Compilers ndrew Myers Cornell University dministration Prelim tomorrow evening No class Wednesday P due in days Optional reading: Muchnick 7 Lecture : Instruction scheduling pr 0 Modern

More information

The Microarchitecture of Superscalar Processors

The Microarchitecture of Superscalar Processors The Microarchitecture of Superscalar Processors James E. Smith Department of Electrical and Computer Engineering 1415 Johnson Drive Madison, WI 53706 ph: (608)-265-5737 fax:(608)-262-1267 email: jes@ece.wisc.edu

More information

Overview. CISC Developments. RISC Designs. CISC Designs. VAX: Addressing Modes. Digital VAX

Overview. CISC Developments. RISC Designs. CISC Designs. VAX: Addressing Modes. Digital VAX Overview CISC Developments Over Twenty Years Classic CISC design: Digital VAX VAXÕs RISC successor: PRISM/Alpha IntelÕs ubiquitous 80x86 architecture Ð 8086 through the Pentium Pro (P6) RJS 2/3/97 Philosophy

More information

Computer Architecture TDTS10

Computer Architecture TDTS10 why parallelism? Performance gain from increasing clock frequency is no longer an option. Outline Computer Architecture TDTS10 Superscalar Processors Very Long Instruction Word Processors Parallel computers

More information

Computer Organization and Components

Computer Organization and Components Computer Organization and Components IS5, fall 25 Lecture : Pipelined Processors ssociate Professor, KTH Royal Institute of Technology ssistant Research ngineer, University of California, Berkeley Slides

More information

Lecture 11: Multi-Core and GPU. Multithreading. Integration of multiple processor cores on a single chip.

Lecture 11: Multi-Core and GPU. Multithreading. Integration of multiple processor cores on a single chip. Lecture 11: Multi-Core and GPU Multi-core computers Multithreading GPUs General Purpose GPUs Zebo Peng, IDA, LiTH 1 Multi-Core System Integration of multiple processor cores on a single chip. To provide

More information

Instruction Set Design

Instruction Set Design Instruction Set Design Instruction Set Architecture: to what purpose? ISA provides the level of abstraction between the software and the hardware One of the most important abstraction in CS It s narrow,

More information

Pipelining Review and Its Limitations

Pipelining Review and Its Limitations Pipelining Review and Its Limitations Yuri Baida yuri.baida@gmail.com yuriy.v.baida@intel.com October 16, 2010 Moscow Institute of Physics and Technology Agenda Review Instruction set architecture Basic

More information

CPU Performance Equation

CPU Performance Equation CPU Performance Equation C T I T ime for task = C T I =Average # Cycles per instruction =Time per cycle =Instructions per task Pipelining e.g. 3-5 pipeline steps (ARM, SA, R3000) Attempt to get C down

More information

CS:APP Chapter 4 Computer Architecture. Wrap-Up. William J. Taffe Plymouth State University. using the slides of

CS:APP Chapter 4 Computer Architecture. Wrap-Up. William J. Taffe Plymouth State University. using the slides of CS:APP Chapter 4 Computer Architecture Wrap-Up William J. Taffe Plymouth State University using the slides of Randal E. Bryant Carnegie Mellon University Overview Wrap-Up of PIPE Design Performance analysis

More information

PROBLEMS #20,R0,R1 #$3A,R2,R4

PROBLEMS #20,R0,R1 #$3A,R2,R4 506 CHAPTER 8 PIPELINING (Corrisponde al cap. 11 - Introduzione al pipelining) PROBLEMS 8.1 Consider the following sequence of instructions Mul And #20,R0,R1 #3,R2,R3 #$3A,R2,R4 R0,R2,R5 In all instructions,

More information

Advanced Computer Architecture-CS501. Computer Systems Design and Architecture 2.1, 2.2, 3.2

Advanced Computer Architecture-CS501. Computer Systems Design and Architecture 2.1, 2.2, 3.2 Lecture Handout Computer Architecture Lecture No. 2 Reading Material Vincent P. Heuring&Harry F. Jordan Chapter 2,Chapter3 Computer Systems Design and Architecture 2.1, 2.2, 3.2 Summary 1) A taxonomy of

More information

A Lab Course on Computer Architecture

A Lab Course on Computer Architecture A Lab Course on Computer Architecture Pedro López José Duato Depto. de Informática de Sistemas y Computadores Facultad de Informática Universidad Politécnica de Valencia Camino de Vera s/n, 46071 - Valencia,

More information

Course on Advanced Computer Architectures

Course on Advanced Computer Architectures Course on Advanced Computer Architectures Surname (Cognome) Name (Nome) POLIMI ID Number Signature (Firma) SOLUTION Politecnico di Milano, September 3rd, 2015 Prof. C. Silvano EX1A ( 2 points) EX1B ( 2

More information

Superscalar Processors. Superscalar Processors: Branch Prediction Dynamic Scheduling. Superscalar: A Sequential Architecture. Superscalar Terminology

Superscalar Processors. Superscalar Processors: Branch Prediction Dynamic Scheduling. Superscalar: A Sequential Architecture. Superscalar Terminology Superscalar Processors Superscalar Processors: Branch Prediction Dynamic Scheduling Superscalar: A Sequential Architecture Superscalar processor is a representative ILP implementation of a sequential architecture

More information

Q. Consider a dynamic instruction execution (an execution trace, in other words) that consists of repeats of code in this pattern:

Q. Consider a dynamic instruction execution (an execution trace, in other words) that consists of repeats of code in this pattern: Pipelining HW Q. Can a MIPS SW instruction executing in a simple 5-stage pipelined implementation have a data dependency hazard of any type resulting in a nop bubble? If so, show an example; if not, prove

More information

Giving credit where credit is due

Giving credit where credit is due CSCE 230J Computer Organization Processor Architecture VI: Wrap-Up Dr. Steve Goddard goddard@cse.unl.edu http://cse.unl.edu/~goddard/courses/csce230j Giving credit where credit is due ost of slides for

More information

Technical Report. Complexity-effective superscalar embedded processors using instruction-level distributed processing. Ian Caulfield.

Technical Report. Complexity-effective superscalar embedded processors using instruction-level distributed processing. Ian Caulfield. Technical Report UCAM-CL-TR-707 ISSN 1476-2986 Number 707 Computer Laboratory Complexity-effective superscalar embedded processors using instruction-level distributed processing Ian Caulfield December

More information

Lecture: Pipelining Extensions. Topics: control hazards, multi-cycle instructions, pipelining equations

Lecture: Pipelining Extensions. Topics: control hazards, multi-cycle instructions, pipelining equations Lecture: Pipelining Extensions Topics: control hazards, multi-cycle instructions, pipelining equations 1 Problem 6 Show the instruction occupying each stage in each cycle (with bypassing) if I1 is R1+R2

More information

Energy-Efficient, High-Performance Heterogeneous Core Design

Energy-Efficient, High-Performance Heterogeneous Core Design Energy-Efficient, High-Performance Heterogeneous Core Design Raj Parihar Core Design Session, MICRO - 2012 Advanced Computer Architecture Lab, UofR, Rochester April 18, 2013 Raj Parihar Energy-Efficient,

More information

ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-12: ARM

ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-12: ARM ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-12: ARM 1 The ARM architecture processors popular in Mobile phone systems 2 ARM Features ARM has 32-bit architecture but supports 16 bit

More information

CS352H: Computer Systems Architecture

CS352H: Computer Systems Architecture CS352H: Computer Systems Architecture Topic 9: MIPS Pipeline - Hazards October 1, 2009 University of Texas at Austin CS352H - Computer Systems Architecture Fall 2009 Don Fussell Data Hazards in ALU Instructions

More information

Pipeline Hazards. Structure hazard Data hazard. ComputerArchitecture_PipelineHazard1

Pipeline Hazards. Structure hazard Data hazard. ComputerArchitecture_PipelineHazard1 Pipeline Hazards Structure hazard Data hazard Pipeline hazard: the major hurdle A hazard is a condition that prevents an instruction in the pipe from executing its next scheduled pipe stage Taxonomy of

More information

This Unit: Multithreading (MT) CIS 501 Computer Architecture. Performance And Utilization. Readings

This Unit: Multithreading (MT) CIS 501 Computer Architecture. Performance And Utilization. Readings This Unit: Multithreading (MT) CIS 501 Computer Architecture Unit 10: Hardware Multithreading Application OS Compiler Firmware CU I/O Memory Digital Circuits Gates & Transistors Why multithreading (MT)?

More information

Instruction Set Architecture. or How to talk to computers if you aren t in Star Trek

Instruction Set Architecture. or How to talk to computers if you aren t in Star Trek Instruction Set Architecture or How to talk to computers if you aren t in Star Trek The Instruction Set Architecture Application Compiler Instr. Set Proc. Operating System I/O system Instruction Set Architecture

More information

BEAGLEBONE BLACK ARCHITECTURE MADELEINE DAIGNEAU MICHELLE ADVENA

BEAGLEBONE BLACK ARCHITECTURE MADELEINE DAIGNEAU MICHELLE ADVENA BEAGLEBONE BLACK ARCHITECTURE MADELEINE DAIGNEAU MICHELLE ADVENA AGENDA INTRO TO BEAGLEBONE BLACK HARDWARE & SPECS CORTEX-A8 ARMV7 PROCESSOR PROS & CONS VS RASPBERRY PI WHEN TO USE BEAGLEBONE BLACK Single

More information

Multithreading Lin Gao cs9244 report, 2006

Multithreading Lin Gao cs9244 report, 2006 Multithreading Lin Gao cs9244 report, 2006 2 Contents 1 Introduction 5 2 Multithreading Technology 7 2.1 Fine-grained multithreading (FGMT)............. 8 2.2 Coarse-grained multithreading (CGMT)............

More information

Exploring the Design of the Cortex-A15 Processor ARM s next generation mobile applications processor. Travis Lanier Senior Product Manager

Exploring the Design of the Cortex-A15 Processor ARM s next generation mobile applications processor. Travis Lanier Senior Product Manager Exploring the Design of the Cortex-A15 Processor ARM s next generation mobile applications processor Travis Lanier Senior Product Manager 1 Cortex-A15: Next Generation Leadership Cortex-A class multi-processor

More information

Multi-core architectures. Jernej Barbic 15-213, Spring 2007 May 3, 2007

Multi-core architectures. Jernej Barbic 15-213, Spring 2007 May 3, 2007 Multi-core architectures Jernej Barbic 15-213, Spring 2007 May 3, 2007 1 Single-core computer 2 Single-core CPU chip the single core 3 Multi-core architectures This lecture is about a new trend in computer

More information

IA-64 Application Developer s Architecture Guide

IA-64 Application Developer s Architecture Guide IA-64 Application Developer s Architecture Guide The IA-64 architecture was designed to overcome the performance limitations of today s architectures and provide maximum headroom for the future. To achieve

More information

Instruction Set Architecture (ISA)

Instruction Set Architecture (ISA) Instruction Set Architecture (ISA) * Instruction set architecture of a machine fills the semantic gap between the user and the machine. * ISA serves as the starting point for the design of a new machine

More information

Five Families of ARM Processor IP

Five Families of ARM Processor IP ARM1026EJ-S Synthesizable ARM10E Family Processor Core Eric Schorn CPU Product Manager ARM Austin Design Center Five Families of ARM Processor IP Performance ARM preserves SW & HW investment through code

More information

OC By Arsene Fansi T. POLIMI 2008 1

OC By Arsene Fansi T. POLIMI 2008 1 IBM POWER 6 MICROPROCESSOR OC By Arsene Fansi T. POLIMI 2008 1 WHAT S IBM POWER 6 MICROPOCESSOR The IBM POWER6 microprocessor powers the new IBM i-series* and p-series* systems. It s based on IBM POWER5

More information

Intel Pentium 4 Processor on 90nm Technology

Intel Pentium 4 Processor on 90nm Technology Intel Pentium 4 Processor on 90nm Technology Ronak Singhal August 24, 2004 Hot Chips 16 1 1 Agenda Netburst Microarchitecture Review Microarchitecture Features Hyper-Threading Technology SSE3 Intel Extended

More information

High Performance Processor Architecture. André Seznec IRISA/INRIA ALF project-team

High Performance Processor Architecture. André Seznec IRISA/INRIA ALF project-team High Performance Processor Architecture André Seznec IRISA/INRIA ALF project-team 1 2 Moore s «Law» Nb of transistors on a micro processor chip doubles every 18 months 1972: 2000 transistors (Intel 4004)

More information

Boosting Beyond Static Scheduling in a Superscalar Processor

Boosting Beyond Static Scheduling in a Superscalar Processor Boosting Beyond Static Scheduling in a Superscalar Processor Michael D. Smith, Monica S. Lam, and Mark A. Horowitz Computer Systems Laboratory Stanford University, Stanford CA 94305-4070 May 1990 1 Introduction

More information

CS521 CSE IITG 11/23/2012

CS521 CSE IITG 11/23/2012 CS521 CSE TG 11/23/2012 A Sahu 1 Degree of overlap Serial, Overlapped, d, Super pipelined/superscalar Depth Shallow, Deep Structure Linear, Non linear Scheduling of operations Static, Dynamic A Sahu slide

More information

Week 1 out-of-class notes, discussions and sample problems

Week 1 out-of-class notes, discussions and sample problems Week 1 out-of-class notes, discussions and sample problems Although we will primarily concentrate on RISC processors as found in some desktop/laptop computers, here we take a look at the varying types

More information

Software Pipelining. Y.N. Srikant. NPTEL Course on Compiler Design. Department of Computer Science Indian Institute of Science Bangalore 560 012

Software Pipelining. Y.N. Srikant. NPTEL Course on Compiler Design. Department of Computer Science Indian Institute of Science Bangalore 560 012 Department of Computer Science Indian Institute of Science Bangalore 560 2 NPTEL Course on Compiler Design Introduction to Overlaps execution of instructions from multiple iterations of a loop Executes

More information

NVIDIA Tegra 4 Family CPU Architecture

NVIDIA Tegra 4 Family CPU Architecture Whitepaper NVIDIA Tegra 4 Family CPU Architecture 4-PLUS-1 Quad core 1 Table of Contents... 1 Introduction... 3 NVIDIA Tegra 4 Family of Mobile Processors... 3 Benchmarking CPU Performance... 4 Tegra 4

More information

Application Note 195. ARM11 performance monitor unit. Document number: ARM DAI 195B Issued: 15th February, 2008 Copyright ARM Limited 2007

Application Note 195. ARM11 performance monitor unit. Document number: ARM DAI 195B Issued: 15th February, 2008 Copyright ARM Limited 2007 Application Note 195 ARM11 performance monitor unit Document number: ARM DAI 195B Issued: 15th February, 2008 Copyright ARM Limited 2007 Copyright 2007 ARM Limited. All rights reserved. Application Note

More information

CHAPTER 7: The CPU and Memory

CHAPTER 7: The CPU and Memory CHAPTER 7: The CPU and Memory The Architecture of Computer Hardware, Systems Software & Networking: An Information Technology Approach 4th Edition, Irv Englander John Wiley and Sons 2010 PowerPoint slides

More information

LSN 2 Computer Processors

LSN 2 Computer Processors LSN 2 Computer Processors Department of Engineering Technology LSN 2 Computer Processors Microprocessors Design Instruction set Processor organization Processor performance Bandwidth Clock speed LSN 2

More information

Introduction to Cloud Computing

Introduction to Cloud Computing Introduction to Cloud Computing Parallel Processing I 15 319, spring 2010 7 th Lecture, Feb 2 nd Majd F. Sakr Lecture Motivation Concurrency and why? Different flavors of parallel computing Get the basic

More information

Execution Cycle. Pipelining. IF and ID Stages. Simple MIPS Instruction Formats

Execution Cycle. Pipelining. IF and ID Stages. Simple MIPS Instruction Formats Execution Cycle Pipelining CSE 410, Spring 2005 Computer Systems http://www.cs.washington.edu/410 1. Instruction Fetch 2. Instruction Decode 3. Execute 4. Memory 5. Write Back IF and ID Stages 1. Instruction

More information

VHDL DESIGN OF EDUCATIONAL, MODERN AND OPEN- ARCHITECTURE CPU

VHDL DESIGN OF EDUCATIONAL, MODERN AND OPEN- ARCHITECTURE CPU VHDL DESIGN OF EDUCATIONAL, MODERN AND OPEN- ARCHITECTURE CPU Martin Straka Doctoral Degree Programme (1), FIT BUT E-mail: strakam@fit.vutbr.cz Supervised by: Zdeněk Kotásek E-mail: kotasek@fit.vutbr.cz

More information

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180B Lab 7: MISP Processor Design Spring 1995

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180B Lab 7: MISP Processor Design Spring 1995 UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering EEC180B Lab 7: MISP Processor Design Spring 1995 Objective: In this lab, you will complete the design of the MISP processor,

More information

Binary search tree with SIMD bandwidth optimization using SSE

Binary search tree with SIMD bandwidth optimization using SSE Binary search tree with SIMD bandwidth optimization using SSE Bowen Zhang, Xinwei Li 1.ABSTRACT In-memory tree structured index search is a fundamental database operation. Modern processors provide tremendous

More information

Pentium vs. Power PC Computer Architecture and PCI Bus Interface

Pentium vs. Power PC Computer Architecture and PCI Bus Interface Pentium vs. Power PC Computer Architecture and PCI Bus Interface CSE 3322 1 Pentium vs. Power PC Computer Architecture and PCI Bus Interface Nowadays, there are two major types of microprocessors in the

More information

An Introduction to the ARM 7 Architecture

An Introduction to the ARM 7 Architecture An Introduction to the ARM 7 Architecture Trevor Martin CEng, MIEE Technical Director This article gives an overview of the ARM 7 architecture and a description of its major features for a developer new

More information

SOC architecture and design

SOC architecture and design SOC architecture and design system-on-chip (SOC) processors: become components in a system SOC covers many topics processor: pipelined, superscalar, VLIW, array, vector storage: cache, embedded and external

More information

(Refer Slide Time: 00:01:16 min)

(Refer Slide Time: 00:01:16 min) Digital Computer Organization Prof. P. K. Biswas Department of Electronic & Electrical Communication Engineering Indian Institute of Technology, Kharagpur Lecture No. # 04 CPU Design: Tirning & Control

More information

CARNEGIE MELLON UNIVERSITY

CARNEGIE MELLON UNIVERSITY CARNEGIE MELLON UNIVERSITY VALUE LOCALITY AND SPECULATIVE EXECUTION A DISSERTATION SUBMITTED TO THE GRADUATE SCHOOL IN PARTIAL FULFILLMENT OF THE REQUIREMENTS for the degree of DOCTOR OF PHILOSOPHY in

More information

COMPUTER ORGANIZATION ARCHITECTURES FOR EMBEDDED COMPUTING

COMPUTER ORGANIZATION ARCHITECTURES FOR EMBEDDED COMPUTING COMPUTER ORGANIZATION ARCHITECTURES FOR EMBEDDED COMPUTING 2013/2014 1 st Semester Sample Exam January 2014 Duration: 2h00 - No extra material allowed. This includes notes, scratch paper, calculator, etc.

More information

Pipeline Hazards. Arvind Computer Science and Artificial Intelligence Laboratory M.I.T. Based on the material prepared by Arvind and Krste Asanovic

Pipeline Hazards. Arvind Computer Science and Artificial Intelligence Laboratory M.I.T. Based on the material prepared by Arvind and Krste Asanovic 1 Pipeline Hazards Computer Science and Artificial Intelligence Laboratory M.I.T. Based on the material prepared by and Krste Asanovic 6.823 L6-2 Technology Assumptions A small amount of very fast memory

More information

A Survey on ARM Cortex A Processors. Wei Wang Tanima Dey

A Survey on ARM Cortex A Processors. Wei Wang Tanima Dey A Survey on ARM Cortex A Processors Wei Wang Tanima Dey 1 Overview of ARM Processors Focusing on Cortex A9 & Cortex A15 ARM ships no processors but only IP cores For SoC integration Targeting markets:

More information

Midterm I SOLUTIONS March 21 st, 2012 CS252 Graduate Computer Architecture

Midterm I SOLUTIONS March 21 st, 2012 CS252 Graduate Computer Architecture University of California, Berkeley College of Engineering Computer Science Division EECS Spring 2012 John Kubiatowicz Midterm I SOLUTIONS March 21 st, 2012 CS252 Graduate Computer Architecture Your Name:

More information

Quiz for Chapter 1 Computer Abstractions and Technology 3.10

Quiz for Chapter 1 Computer Abstractions and Technology 3.10 Date: 3.10 Not all questions are of equal difficulty. Please review the entire quiz first and then budget your time carefully. Name: Course: Solutions in Red 1. [15 points] Consider two different implementations,

More information

Implementation of Core Coalition on FPGAs

Implementation of Core Coalition on FPGAs Implementation of Core Coalition on FPGAs Kaushik Triyambaka Mysur, Mihai Pricopi, Thomas Marconi, Tulika Mitra School of Computing National University of Singapore kaushik.mysur@gmail.com, {mihai,tulika,marconi}@comp.nus.edu.sg

More information

Pitfalls of Object Oriented Programming

Pitfalls of Object Oriented Programming Sony Computer Entertainment Europe Research & Development Division Pitfalls of Object Oriented Programming Tony Albrecht Technical Consultant Developer Services A quick look at Object Oriented (OO) programming

More information

StrongARM** SA-110 Microprocessor Instruction Timing

StrongARM** SA-110 Microprocessor Instruction Timing StrongARM** SA-110 Microprocessor Instruction Timing Application Note September 1998 Order Number: 278194-001 Information in this document is provided in connection with Intel products. No license, express

More information

Exploiting Choice: Instruction Fetch and Issue on an Implementable Simultaneous Multithreading Processor

Exploiting Choice: Instruction Fetch and Issue on an Implementable Simultaneous Multithreading Processor Exploiting Choice: Instruction Fetch and Issue on an Implementable Simultaneous Multithreading Processor Dean M. Tullsen, Susan J. Eggers, Joel S. Emer y, Henry M. Levy, Jack L. Lo, and Rebecca L. Stamm

More information

Introducción. Diseño de sistemas digitales.1

Introducción. Diseño de sistemas digitales.1 Introducción Adapted from: Mary Jane Irwin ( www.cse.psu.edu/~mji ) www.cse.psu.edu/~cg431 [Original from Computer Organization and Design, Patterson & Hennessy, 2005, UCB] Diseño de sistemas digitales.1

More information

POWER8 Performance Analysis

POWER8 Performance Analysis POWER8 Performance Analysis Satish Kumar Sadasivam Senior Performance Engineer, Master Inventor IBM Systems and Technology Labs satsadas@in.ibm.com #OpenPOWERSummit Join the conversation at #OpenPOWERSummit

More information

İSTANBUL AYDIN UNIVERSITY

İSTANBUL AYDIN UNIVERSITY İSTANBUL AYDIN UNIVERSITY FACULTY OF ENGİNEERİNG SOFTWARE ENGINEERING THE PROJECT OF THE INSTRUCTION SET COMPUTER ORGANIZATION GÖZDE ARAS B1205.090015 Instructor: Prof. Dr. HASAN HÜSEYİN BALIK DECEMBER

More information

IBM CELL CELL INTRODUCTION. Project made by: Origgi Alessandro matr. 682197 Teruzzi Roberto matr. 682552 IBM CELL. Politecnico di Milano Como Campus

IBM CELL CELL INTRODUCTION. Project made by: Origgi Alessandro matr. 682197 Teruzzi Roberto matr. 682552 IBM CELL. Politecnico di Milano Como Campus Project made by: Origgi Alessandro matr. 682197 Teruzzi Roberto matr. 682552 CELL INTRODUCTION 2 1 CELL SYNERGY Cell is not a collection of different processors, but a synergistic whole Operation paradigms,

More information

361 Computer Architecture Lecture 14: Cache Memory

361 Computer Architecture Lecture 14: Cache Memory 1 361 Computer Architecture Lecture 14 Memory cache.1 The Motivation for s Memory System Processor DRAM Motivation Large memories (DRAM) are slow Small memories (SRAM) are fast Make the average access

More information

AMD Opteron Quad-Core

AMD Opteron Quad-Core AMD Opteron Quad-Core a brief overview Daniele Magliozzi Politecnico di Milano Opteron Memory Architecture native quad-core design (four cores on a single die for more efficient data sharing) enhanced

More information

Central Processing Unit (CPU)

Central Processing Unit (CPU) Central Processing Unit (CPU) CPU is the heart and brain It interprets and executes machine level instructions Controls data transfer from/to Main Memory (MM) and CPU Detects any errors In the following

More information

Energy Efficient Design of the Reorder Buffer 1

Energy Efficient Design of the Reorder Buffer 1 Energy Efficient Design of the Reorder Buffer 1 Dmitry Ponomarev, Gurhan Kucuk, Kanad Ghose Department of Computer Science, State University of New York, Binghamton, NY 13902 6000 {dima, gurhan, ghose}@cs.binghamton.edu

More information

TDT 4260 lecture 11 spring semester 2013. Interconnection network continued

TDT 4260 lecture 11 spring semester 2013. Interconnection network continued 1 TDT 4260 lecture 11 spring semester 2013 Lasse Natvig, The CARD group Dept. of computer & information science NTNU 2 Lecture overview Interconnection network continued Routing Switch microarchitecture

More information

Computer Architecture Lecture 2: Instruction Set Principles (Appendix A) Chih Wei Liu 劉 志 尉 National Chiao Tung University cwliu@twins.ee.nctu.edu.

Computer Architecture Lecture 2: Instruction Set Principles (Appendix A) Chih Wei Liu 劉 志 尉 National Chiao Tung University cwliu@twins.ee.nctu.edu. Computer Architecture Lecture 2: Instruction Set Principles (Appendix A) Chih Wei Liu 劉 志 尉 National Chiao Tung University cwliu@twins.ee.nctu.edu.tw Review Computers in mid 50 s Hardware was expensive

More information

Instruction Set Architecture (ISA) Design. Classification Categories

Instruction Set Architecture (ISA) Design. Classification Categories Instruction Set Architecture (ISA) Design Overview» Classify Instruction set architectures» Look at how applications use ISAs» Examine a modern RISC ISA (DLX)» Measurement of ISA usage in real computers

More information

Design Cycle for Microprocessors

Design Cycle for Microprocessors Cycle for Microprocessors Raúl Martínez Intel Barcelona Research Center Cursos de Verano 2010 UCLM Intel Corporation, 2010 Agenda Introduction plan Architecture Microarchitecture Logic Silicon ramp Types

More information

Using Graphics and Animation to Visualize Instruction Pipelining and its Hazards

Using Graphics and Animation to Visualize Instruction Pipelining and its Hazards Using Graphics and Animation to Visualize Instruction Pipelining and its Hazards Per Stenström, Håkan Nilsson, and Jonas Skeppstedt Department of Computer Engineering, Lund University P.O. Box 118, S-221

More information

Introduction to RISC Processor. ni logic Pvt. Ltd., Pune

Introduction to RISC Processor. ni logic Pvt. Ltd., Pune Introduction to RISC Processor ni logic Pvt. Ltd., Pune AGENDA What is RISC & its History What is meant by RISC Architecture of MIPS-R4000 Processor Difference Between RISC and CISC Pros and Cons of RISC

More information

Solutions. Solution 4.1. 4.1.1 The values of the signals are as follows:

Solutions. Solution 4.1. 4.1.1 The values of the signals are as follows: 4 Solutions Solution 4.1 4.1.1 The values of the signals are as follows: RegWrite MemRead ALUMux MemWrite ALUOp RegMux Branch a. 1 0 0 (Reg) 0 Add 1 (ALU) 0 b. 1 1 1 (Imm) 0 Add 1 (Mem) 0 ALUMux is the

More information

FLIX: Fast Relief for Performance-Hungry Embedded Applications

FLIX: Fast Relief for Performance-Hungry Embedded Applications FLIX: Fast Relief for Performance-Hungry Embedded Applications Tensilica Inc. February 25 25 Tensilica, Inc. 25 Tensilica, Inc. ii Contents FLIX: Fast Relief for Performance-Hungry Embedded Applications...

More information

GPU Architectures. A CPU Perspective. Data Parallelism: What is it, and how to exploit it? Workload characteristics

GPU Architectures. A CPU Perspective. Data Parallelism: What is it, and how to exploit it? Workload characteristics GPU Architectures A CPU Perspective Derek Hower AMD Research 5/21/2013 Goals Data Parallelism: What is it, and how to exploit it? Workload characteristics Execution Models / GPU Architectures MIMD (SPMD),

More information

EE282 Computer Architecture and Organization Midterm Exam February 13, 2001. (Total Time = 120 minutes, Total Points = 100)

EE282 Computer Architecture and Organization Midterm Exam February 13, 2001. (Total Time = 120 minutes, Total Points = 100) EE282 Computer Architecture and Organization Midterm Exam February 13, 2001 (Total Time = 120 minutes, Total Points = 100) Name: (please print) Wolfe - Solution In recognition of and in the spirit of the

More information

Putting it all together: Intel Nehalem. http://www.realworldtech.com/page.cfm?articleid=rwt040208182719

Putting it all together: Intel Nehalem. http://www.realworldtech.com/page.cfm?articleid=rwt040208182719 Putting it all together: Intel Nehalem http://www.realworldtech.com/page.cfm?articleid=rwt040208182719 Intel Nehalem Review entire term by looking at most recent microprocessor from Intel Nehalem is code

More information

Chapter 1 Computer System Overview

Chapter 1 Computer System Overview Operating Systems: Internals and Design Principles Chapter 1 Computer System Overview Eighth Edition By William Stallings Operating System Exploits the hardware resources of one or more processors Provides

More information

<Insert Picture Here> T4: A Highly Threaded Server-on-a-Chip with Native Support for Heterogeneous Computing

<Insert Picture Here> T4: A Highly Threaded Server-on-a-Chip with Native Support for Heterogeneous Computing T4: A Highly Threaded Server-on-a-Chip with Native Support for Heterogeneous Computing Robert Golla Senior Hardware Architect Paul Jordan Senior Principal Hardware Engineer Oracle

More information

Intel StrongARM SA-110 Microprocessor

Intel StrongARM SA-110 Microprocessor Intel StrongARM SA-110 Microprocessor Product Features Brief Datasheet The Intel StrongARM SA-110 Microprocessor (SA-110), the first member of the StrongARM family of high-performance, low-power microprocessors,

More information

150127-Microprocessor & Assembly Language

150127-Microprocessor & Assembly Language Chapter 3 Z80 Microprocessor Architecture The Z 80 is one of the most talented 8 bit microprocessors, and many microprocessor-based systems are designed around the Z80. The Z80 microprocessor needs an

More information

GPUs for Scientific Computing

GPUs for Scientific Computing GPUs for Scientific Computing p. 1/16 GPUs for Scientific Computing Mike Giles mike.giles@maths.ox.ac.uk Oxford-Man Institute of Quantitative Finance Oxford University Mathematical Institute Oxford e-research

More information

Introduction to GPU Architecture

Introduction to GPU Architecture Introduction to GPU Architecture Ofer Rosenberg, PMTS SW, OpenCL Dev. Team AMD Based on From Shader Code to a Teraflop: How GPU Shader Cores Work, By Kayvon Fatahalian, Stanford University Content 1. Three

More information

Enterprise Applications

Enterprise Applications Enterprise Applications Chi Ho Yue Sorav Bansal Shivnath Babu Amin Firoozshahian EE392C Emerging Applications Study Spring 2003 Functionality Online Transaction Processing (OLTP) Users/apps interacting

More information

Computer Architecture

Computer Architecture Cache Memory Gábor Horváth 2016. április 27. Budapest associate professor BUTE Dept. Of Networked Systems and Services ghorvath@hit.bme.hu It is the memory,... The memory is a serious bottleneck of Neumann

More information

18-447 Computer Architecture Lecture 3: ISA Tradeoffs. Prof. Onur Mutlu Carnegie Mellon University Spring 2013, 1/18/2013

18-447 Computer Architecture Lecture 3: ISA Tradeoffs. Prof. Onur Mutlu Carnegie Mellon University Spring 2013, 1/18/2013 18-447 Computer Architecture Lecture 3: ISA Tradeoffs Prof. Onur Mutlu Carnegie Mellon University Spring 2013, 1/18/2013 Reminder: Homeworks for Next Two Weeks Homework 0 Due next Wednesday (Jan 23), right

More information