Zynq Architecture. Zynq 14.2 Version. Copyright 2012 Xilinx. This material exempt per Department of Commerce license exception TSU

Size: px
Start display at page:

Download "Zynq Architecture. Zynq 14.2 Version. Copyright 2012 Xilinx. This material exempt per Department of Commerce license exception TSU"

Transcription

1 Zynq Architecture Zynq 14.2 Version This material exempt per Department of Commerce license exception TSU

2 Objectives After completing this module, you will be able to: Identify the basic building blocks of the Zynq architecture processing system (PS) Describe the usage of the Cortex-A9 processor memory space Connect the PS to the programmable logic (PL) through the AXI ports Generate clocking sources for the PL peripherals List the various AXI-based system architectural models Name the five AXI channels Describe the operation of the AXI streaming protocol Zynq Architecture 12-2

3 Outline Zynq All Programmable SoC (AP SoC) Zynq AP SoC Processing System (PS) Processor Peripherals Clock, Reset, and Debug Features AXI Interfaces Summary Zynq Architecture 12-3

4 Zynq-7000 Family Highlights Complete ARM -based processing system Application Processor Unit (APU) Dual ARM Cortex -A9 processors Caches and support blocks Fully integrated memory controllers I/O peripherals Tightly integrated programmable logic Used to extend the processing system Scalable density and performance Flexible array of I/O Wide range of external multi-standard I/O High-performance integrated serial transceivers Analog-to-digital converter inputs Zynq Architecture 12-4

5 Zynq-7000 AP SoC Block Diagram Zynq Architecture 12-5

6 The PS and the PL The Zynq-7000 AP SoC architecture consists of two major sections PS: Processing system Dual ARM Cortex-A9 processor based Multiple peripherals Hard silicon core PL: Programmable logic Shares the same 7 series programmable logic as Artix -based devices: Z-7010 and Z-7020 (high-range I/O banks only) Kintex -based devices: Z-7030 and Z-7045 (mix of high-range and high-performance I/O banks) Zynq Architecture 12-6

7 ARM Processor Architecture ARM Cortex-A9 processor implements the ARMv7-A architecture ARMv7 is the ARM Instruction Set Architecture (ISA) ARMv7-A: Application set that includes support for a Memory Management Unit (MMU) ARMv7-R: Real-time set that includes support for a Memory Protection Unit (MPU) ARMv7-M: Microcontroller set that is the smallest set The ARMv7 ISA includes the following types of instructions (for backwards compatibility) Thumb instructions: 16 bits; Thumb-2 instructions: 32 bits NEON: ARM s Single Instruction Multiple Data (SIMD) instructions ARM Advanced Microcontroller Bus Architecture (AMBA ) protocol AXI3: Third-generation ARM interface AXI4: Adding to the existing AXI definition (extended bursts, subsets) Cortex is the new family of processors ARM family is older generation; Cortex is current; MMUs in Cortex processors and MPUs in ARM Zynq Architecture 12-7

8 ARM Cortex-A9 Processor Power Dual-core processor cluster 2.5 DMIP/MHz per processor Harvard architecture Self-contained 32KB L1 caches for instructions and data External memory based 512KB L2 cache Automatic cache coherency between processor cores 800-MHz operation (fastest speed grade) Zynq Architecture 12-8

9 Outline Zynq All Programmable SoC (AP SoC) Zynq AP SoC Processing System (PS) Processor Peripherals Clock, Reset, and Debug Features AXI Interfaces Summary Zynq Architecture 12-9

10 ARM Cortex-A9 Processor Micro-Architecture Instruction pipeline supports out-oforder instruction issue and completion Register renaming to enable execution speculation Non-blocking memory system with load-store forwarding Fast loop mode in instruction pre-fetch to lower power consumption Zynq Architecture 12-10

11 ARM Cortex-A9 Processor Micro-Architecture Variable length, out-of-order, eight-stage, super-scalar instruction pipeline Advanced pre-fetch with parallel branch pipeline enabling early branch prediction and resolution Multi-issued into Primary data processing pipeline Secondary full data processing pipeline Load-store pipeline Compute engine (FPU/NEON) pipeline Speculative execution Supports virtual renaming of ARM physical registers to remove pipeline stalls due to data dependencies Increased processor utilization and hiding of memory latencies Increased performance by hardware unrolling of code loops Reduced interrupt latency via speculative entry to Interrupt Service Routine (ISR) Zynq Architecture 12-11

12 PS Components Application processing unit (APU) I/O peripherals (IOP) Multiplexed I/O (MIO), extended multiplexed I/O (EMIO) Memory interfaces PS interconnect DMA Timers Public and private General interrupt controller (GIC) On-chip memory (OCM): RAM Debug controller: CoreSight Zynq Architecture 12-12

13 Processing System Interconnect (1) Programmable logic to memory Two ports to DDR One port to OCM SRAM Central interconnect Enables other interconnects to communicate Peripheral master USB, GigE, SDIO connects to DDR and PL via the central interconnect Peripheral slave CPU, DMA, and PL access to IOP peripherals Zynq Architecture 12-13

14 Processing System Interconnect (2) Processing system master Two ports from the processing system to programmable logic Connects the CPU block to common peripherals through the central interconnect Processing system slave Two ports from programmable logic to the processing system Zynq Architecture 12-14

15 Memory Map The Cortex-A9 processor uses 32-bit addressing All PS peripherals and PL peripherals are memory mapped to the Cortex-A9 processor cores All slave PL peripherals will be located between 4000_0000 and 7FFF_FFFF (connected to GP0) and 8000_0000 and BFFF_FFFF (connected to GP1) Zynq Architecture 12-15

16 Zynq AP SoC Memory Resources On-chip memory (OCM) RAM Boot ROM DDRx dynamic memory controller Supports LPDDR2, DDR2, DDR3 Flash/static, memory controller Supports SRAM, QSPI, NAND/NOR FLASH Zynq Architecture 12-16

17 PS Boots First CPU0 boots from OCM ROM; CPU1 goes into a sleep state On-chip boot loader in OCM ROM (Stage 0 boot) Processor loads First Stage Boot Loader (FSBL) from external flash memory NOR NAND Quad-SPI SD Card JTAG; not a memory device used for development/debug only Boot source selected via package bootstrapping pins Optional secure boot mode allows the loading of encrypted software from the flash boot memory Zynq Architecture 12-17

18 Configuring the PL The programmable logic is configured after the PS boots Performed by application software accessing the hardware device configuration unit Bitstream image transferred 100-MHz, 32-bit PCAP stream interface Decryption/authentication hardware option for encrypted bitstreams In secure boot mode, this option can be used for software memory load Built-in DMA allows simultaneous PL configuration and OS memory loading Zynq Architecture 12-18

19 Outline Zynq All Programmable SoC (AP SoC) Zynq AP SoC Processing System (PS) Processor Peripherals Clock, Reset, and Debug Features AXI Interfaces Summary Zynq Architecture 12-19

20 Input/Output Peripherals Two GigE Two USB Two SPI Two SD/SDIO Two CAN Two I2C Two UART Four 32-bit GPIOs Static memories NAND, NOR/SRAM, Quad SPI Trace ports Zynq Architecture 12-20

21 Multiplexed I/O (MIO) External interface to PS I/O peripheral ports 54 dedicated package pins available Software configurable Automatically added to bootloader by tools Not available for all peripheral ports Some ports can only use EMIO Zynq Architecture 12-21

22 Extended Multiplexed I/O (EMIO) Extended interface to PS I/O peripheral ports EMIO: Peripheral port to programmable logic Alternative to using MIO Mandatory for some peripheral ports Facilitates Connection to peripheral in programmable logic Use of general I/O pins to supplement MIO pin usage Alleviates competition for MIO pin usage Zynq Architecture 12-22

23 PS-PL Interfaces AXI high-performance slave ports (HP0-HP3) Configurable 32-bit or 64-bit data width Access to OCM and DDR only Conversion to processing system clock domain AXI FIFO Interface (AFI) are FIFOs (1KB) to smooth large data transfers AXI general-purpose ports (GP0-GP1) Two masters from PS to PL Two slaves from PL to PS 32-bit data width Conversation and sync to processing system clock domain Zynq Architecture 12-23

24 PS-PL Interfaces One 64-bit accelerator coherence port (ACP) AXI slave interface to CPU memory DMA, interrupts, events signals Processor event bus for signaling event information to the CPU PL peripheral IP interrupts to the PS general interrupt controller (GIC) Four DMA channel RDY/ACK signals Extended multiplexed I/O (EMIO) allows PS peripheral ports access to PL logic and device I/O pins Clock and resets Four PS clock outputs to the PL with enable control Four PS reset outputs to the PL Configuration and miscellaneous Zynq Architecture 12-24

25 Outline Zynq All Programmable SoC (AP SoC) Zynq AP SoC Processing System (PS) Processor Peripherals Clock, Reset, and Debug Features AXI Interfaces Summary Zynq Architecture 12-25

26 PL Clocking Sources PS clocks PS clock source from external package pin PS has three PLLs for clock generation PS has four clock ports to PL The PL has 7 series clocking resources PL has a different clock source domain compared to the PS The clock to PL can be sourced from external clock capable pins Can use one of the four PS clocks as source Synchronizing the clock between PL and PS is taken care of by the architecture of the PS PL cannot supply clock source to PS Zynq Architecture 12-26

27 Clocking the PL Zynq Architecture 12-27

28 Clock Generation (Using Zynq Tab) The Clock Generator allows the configuration of PLL components for both the PS and PL of the Zynq AP SoC One input reference clock Access the GUI by clicking the Clock Generation block in the Zynq tab of the SAV Configure the PS Peripheral Clock in the Zynq tab PS uses a dedicated PLL clock PS I/O peripherals use the I/O PLL clock and ARM PLL Clock to PL is disabled if PS clocking is present Zynq Architecture 12-28

29 Zynq Resets Internal resets Power-on reset (POR) Watchdog resets from the three watchdog timers Secure violation reset PS resets External reset: PS_SRST_B Warm reset: SRSTB PL resets Four reset outputs from PS to PL FCLK_RESET[3:0] Zynq Architecture 12-29

30 Outline Zynq All Programmable SoC (AP SoC) Zynq AP SoC Processing System (PS) Processor Peripherals Clock, Reset, and Debug Features AXI Interfaces Summary Zynq Architecture 12-30

31 AXI is Part of ARM s AMBA AMBA APB AHB AXI AMBA 3.0 (2003) Older Performance Newer AMBA: Advanced Microcontroller Bus Architecture AXI: Advanced Extensible Interface Zynq Architecture 12-31

32 AXI is Part of AMBA AMBA Enhancements for FPGAs APB AHB AXI ATB AMBA 3.0 (2003) Same Spec AXI-4 Memory Map AXI-4 Stream AXI-4 Lite AMBA 4.0 (2010) Interface Features Similar to Memory Map / Full (AXI4) Streaming (AXI4-Stream) Lite (AXI4-Lite) Traditional Address/Data Burst (single address, multiple data) PLBv46, PCI Data-Only, Burst Local Link / DSP Interfaces / FIFO / FSL Traditional Address/Data No Burst (single address, single data) PLBv46-single OPB Zynq Architecture 12-32

33 Basic AXI Signaling 5 Channels 1. Read Address Channel 2. Read Data Channel 3. Write Address Channel 4. Write Data Channel 5. Write Response Channel Zynq Architecture 12-33

34 The AXI Interface AX4-Lite No burst Data width 32 or 64 only Xilinx IP only supports 32-bits AXI4-Lite Read Very small footprint Bridging to AXI4 handled automatically by AXI_Interconnect (if needed) AXI4-Lite Write Zynq Architecture 12-34

35 The AXI Interface AXI4 Sometimes called Full AXI or AXI Memory Mapped Not ARM-sanctioned names AXI4 Read Single address multiple data Burst up to 256 data beats Data Width parameterizable 1024 bits AXI4 Write Zynq Architecture 12-35

36 The AXI Interface AXI4-Stream No address channel, no read and write, always just master to slave Effectively an AXI4 write data channel Unlimited burst length AXI4 max 256 AXI4-Lite does not burst Virtually same signaling as AXI Data Channels Protocol allows merging, packing, width conversion Supports sparse, continuous, aligned, unaligned streams AXI4-Stream Transfer Zynq Architecture 12-36

37 Streaming Applications May not have packets E.g. Digital up converter No concept of address Free-running data (in this case) In this situation, AXI4-Stream would optimize to a very simple interface May have packets E.g. PCIe Their packets may contain different information Typically bridge logic of some sort is needed Zynq Architecture 12-37

38 Outline Zynq All Programmable SoC (AP SoC) Zynq AP SoC Processing System (PS) Processor Peripherals Clock, Reset, and Debug Features AXI Interfaces Summary Zynq Architecture 12-38

39 Summary The Zynq-7000 processing platform is a system on a chip (SoC) processor with embedded programmable logic The processing system (PS) is the hard silicon dual core consisting of APU and list components Two Cortex-A9 processors NEON co-processor General interrupt controller (GIC) General and watchdog timers I/O peripherals External memory interfaces Zynq Architecture 12-39

40 Summary The programmable logic (PL) consists of 7 series devices AXI is an interface providing high performance through point-to-point connection AXI has separate, independent read and write interfaces implemented with channels The AXI4 interface offers improvements over AXI3 and defines Full AXI memory mapped AXI Lite AXI Stream Tightly coupled AXI ports interface the PL and PS for maximum performance The PS boots from a selection of external memory devices The PL is configured by and after the PS boots The PS provides clocking resources to the PL The PL may not provide clocking to the PS Zynq Architecture 12-40

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Contents Überblick: Aufbau moderner FPGA Einblick: Eigenschaften

More information

Architectures, Processors, and Devices

Architectures, Processors, and Devices Architectures, Processors, and Devices Development Article Copyright 2009 ARM Limited. All rights reserved. ARM DHT 0001A Development Article Copyright 2009 ARM Limited. All rights reserved. Release Information

More information

Chapter 13. PIC Family Microcontroller

Chapter 13. PIC Family Microcontroller Chapter 13 PIC Family Microcontroller Lesson 01 PIC Characteristics and Examples PIC microcontroller characteristics Power-on reset Brown out reset Simplified instruction set High speed execution Up to

More information

The ARM Cortex-A9 Processors

The ARM Cortex-A9 Processors The ARM Cortex-A9 Processors This whitepaper describes the details of a newly developed processor design within the common ARM Cortex applications profile ARM Cortex-A9 MPCore processor: A multicore processor

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

LogiCORE IP AXI Performance Monitor v2.00.a

LogiCORE IP AXI Performance Monitor v2.00.a LogiCORE IP AXI Performance Monitor v2.00.a Product Guide Table of Contents IP Facts Chapter 1: Overview Target Technology................................................................. 9 Applications......................................................................

More information

ARM Webinar series. ARM Based SoC. Abey Thomas

ARM Webinar series. ARM Based SoC. Abey Thomas ARM Webinar series ARM Based SoC Verification Abey Thomas Agenda About ARM and ARM IP ARM based SoC Verification challenges Verification planning and strategy IP Connectivity verification Performance verification

More information

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor Von der Hardware zur Software in FPGAs mit Embedded Prozessoren Alexander Hahn Senior Field Application Engineer Lattice Semiconductor AGENDA Overview Mico32 Embedded Processor Development Tool Chain HW/SW

More information

System Performance Analysis of an All Programmable SoC

System Performance Analysis of an All Programmable SoC XAPP1219 (v1.1) November 5, 2015 Application Note: Zynq-7000 AP SoC System Performance Analysis of an All Programmable SoC Author: Forrest Pickett Summary This application note educates users on the evaluation,

More information

Am186ER/Am188ER AMD Continues 16-bit Innovation

Am186ER/Am188ER AMD Continues 16-bit Innovation Am186ER/Am188ER AMD Continues 16-bit Innovation 386-Class Performance, Enhanced System Integration, and Built-in SRAM Problem with External RAM All embedded systems require RAM Low density SRAM moving

More information

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor A Starter Guide Joseph Yiu November 2014 version 1.02 27 Nov 2014 1 - Background Since the ARM Cortex -M0 Processor was released a few years

More information

Which ARM Cortex Core Is Right for Your Application: A, R or M?

Which ARM Cortex Core Is Right for Your Application: A, R or M? Which ARM Cortex Core Is Right for Your Application: A, R or M? Introduction The ARM Cortex series of cores encompasses a very wide range of scalable performance options offering designers a great deal

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design Applying the Benefits of on a Chip Architecture to FPGA System Design WP-01149-1.1 White Paper This document describes the advantages of network on a chip (NoC) architecture in Altera FPGA system design.

More information

Attention. restricted to Avnet s X-Fest program and Avnet employees. Any use

Attention. restricted to Avnet s X-Fest program and Avnet employees. Any use Attention The Content material is contained copyright in by this its presentation original authors, is the property and is used of Avnet by Electronics permission. Marketing. This compendium Use of this

More information

BEAGLEBONE BLACK ARCHITECTURE MADELEINE DAIGNEAU MICHELLE ADVENA

BEAGLEBONE BLACK ARCHITECTURE MADELEINE DAIGNEAU MICHELLE ADVENA BEAGLEBONE BLACK ARCHITECTURE MADELEINE DAIGNEAU MICHELLE ADVENA AGENDA INTRO TO BEAGLEBONE BLACK HARDWARE & SPECS CORTEX-A8 ARMV7 PROCESSOR PROS & CONS VS RASPBERRY PI WHEN TO USE BEAGLEBONE BLACK Single

More information

ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-12: ARM

ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-12: ARM ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-12: ARM 1 The ARM architecture processors popular in Mobile phone systems 2 ARM Features ARM has 32-bit architecture but supports 16 bit

More information

FPGA-Accelerated Heterogeneous Hyperscale Server Architecture for Next-Generation Compute Clusters

FPGA-Accelerated Heterogeneous Hyperscale Server Architecture for Next-Generation Compute Clusters FPGA-Accelerated Heterogeneous Hyperscale Server Architecture for Next-Generation Clusters Rene Griessl, Peykanu Meysam, Jens Hagemeyer, Mario Porrmann Bielefeld University, Germany Stefan Krupop, Micha

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Software based Finite State Machine (FSM) with general purpose processors

Software based Finite State Machine (FSM) with general purpose processors Software based Finite State Machine (FSM) with general purpose processors White paper Joseph Yiu January 2013 Overview Finite state machines (FSM) are commonly used in electronic designs. FSM can be used

More information

STM32 F-2 series High-performance Cortex-M3 MCUs

STM32 F-2 series High-performance Cortex-M3 MCUs STM32 F-2 series High-performance Cortex-M3 MCUs STMicroelectronics 32-bit microcontrollers, 120 MHz/150 DMIPS with ART Accelerator TM and advanced peripherals www.st.com/mcu STM32 F-2 series The STM32

More information

ARM Cortex -A8 SBC with MIPI CSI Camera and Spartan -6 FPGA SBC1654

ARM Cortex -A8 SBC with MIPI CSI Camera and Spartan -6 FPGA SBC1654 ARM Cortex -A8 SBC with MIPI CSI Camera and Spartan -6 FPGA SBC1654 Features ARM Cortex-A8 processor, 800MHz Xilinx Spartan-6 FPGA expands vision processing capabilities Dual MIPI CSI-2 CMOS camera ports,

More information

XA Zynq-7000 All Programmable SoC Overview

XA Zynq-7000 All Programmable SoC Overview XA Zynq-7000 All Programmable SoC Overview Product Specification XA Zynq-7000 All Programmable SoC First Generation Architecture The XA Zynq -7000 Automotive family is based on the Xilinx All Programmable

More information

Cortex-A9 MPCore Software Development

Cortex-A9 MPCore Software Development Cortex-A9 MPCore Software Development Course Description Cortex-A9 MPCore software development is a 4 days ARM official course. The course goes into great depth and provides all necessary know-how to develop

More information

AXI Performance Monitor v5.0

AXI Performance Monitor v5.0 AXI Performance Monitor v5.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Advanced Mode...................................................................

More information

Cortex -A15. Technical Reference Manual. Revision: r2p0. Copyright 2011 ARM. All rights reserved. ARM DDI 0438C (ID102211)

Cortex -A15. Technical Reference Manual. Revision: r2p0. Copyright 2011 ARM. All rights reserved. ARM DDI 0438C (ID102211) Cortex -A15 Revision: r2p0 Technical Reference Manual Copyright 2011 ARM. All rights reserved. ARM DDI 0438C () Cortex-A15 Technical Reference Manual Copyright 2011 ARM. All rights reserved. Release Information

More information

System Design Issues in Embedded Processing

System Design Issues in Embedded Processing System Design Issues in Embedded Processing 9/16/10 Jacob Borgeson 1 Agenda What does TI do? From MCU to MPU to DSP: What are some trends? Design Challenges Tools to Help 2 TI - the complete system The

More information

UNIT 4 Software Development Flow

UNIT 4 Software Development Flow DESIGN OF SYSTEM ON CHIP UNIT 4 Software Development Flow Interrupts OFFICIAL MASTER IN ADVANCED ELECTRONIC SYSTEMS. INTELLIGENT SYSTEMS Outline Introduction Interrupts in Cortex-A9 Processor Interrupt

More information

ZigBee Technology Overview

ZigBee Technology Overview ZigBee Technology Overview Presented by Silicon Laboratories Shaoxian Luo 1 EM351 & EM357 introduction EM358x Family introduction 2 EM351 & EM357 3 Ember ZigBee Platform Complete, ready for certification

More information

Accelerate Cloud Computing with the Xilinx Zynq SoC

Accelerate Cloud Computing with the Xilinx Zynq SoC X C E L L E N C E I N N E W A P P L I C AT I O N S Accelerate Cloud Computing with the Xilinx Zynq SoC A novel reconfigurable hardware accelerator speeds the processing of applications based on the MapReduce

More information

ARM Cortex STM series

ARM Cortex STM series ARM Cortex board 1 ARM Cortex STM series 2 STM32 Series 3 Abbreviation FS full speed HS high speed MC motor controller MSI multi speed internal oscillator RNG random number generator SDIO secure digital

More information

USB 3.0 Connectivity using the Cypress EZ-USB FX3 Controller

USB 3.0 Connectivity using the Cypress EZ-USB FX3 Controller USB 3.0 Connectivity using the Cypress EZ-USB FX3 Controller PLC2 FPGA Days June 20, 2012 Stuttgart Martin Heimlicher FPGA Solution Center Content Enclustra Company Profile USB 3.0 Overview What is new?

More information

Motor Control using NXP s LPC2900

Motor Control using NXP s LPC2900 Motor Control using NXP s LPC2900 Agenda LPC2900 Overview and Development tools Control of BLDC Motors using the LPC2900 CPU Load of BLDCM and PMSM Enhancing performance LPC2900 Demo BLDC motor 2 LPC2900

More information

ARM Microprocessor and ARM-Based Microcontrollers

ARM Microprocessor and ARM-Based Microcontrollers ARM Microprocessor and ARM-Based Microcontrollers Nguatem William 24th May 2006 A Microcontroller-Based Embedded System Roadmap 1 Introduction ARM ARM Basics 2 ARM Extensions Thumb Jazelle NEON & DSP Enhancement

More information

ARM Processors and the Internet of Things. Joseph Yiu Senior Embedded Technology Specialist, ARM

ARM Processors and the Internet of Things. Joseph Yiu Senior Embedded Technology Specialist, ARM ARM Processors and the Internet of Things Joseph Yiu Senior Embedded Technology Specialist, ARM 1 Internet of Things is a very Diverse Market Human interface Location aware MEMS sensors Smart homes Security,

More information

Lab Experiment 1: The LPC 2148 Education Board

Lab Experiment 1: The LPC 2148 Education Board Lab Experiment 1: The LPC 2148 Education Board 1 Introduction The aim of this course ECE 425L is to help you understand and utilize the functionalities of ARM7TDMI LPC2148 microcontroller. To do that,

More information

Bare-Metal, RTOS, or Linux? Optimize Real-Time Performance with Altera SoCs

Bare-Metal, RTOS, or Linux? Optimize Real-Time Performance with Altera SoCs WP-01245-1.0 Abstract This white paper examines various methods for optimizing real-time performance on Altera SoCs, which integrate an FPGA and applications processor into a single chip. Standard software

More information

Building Blocks for PRU Development

Building Blocks for PRU Development Building Blocks for PRU Development Module 1 PRU Hardware Overview This session covers a hardware overview of the PRU-ICSS Subsystem. Author: Texas Instruments, Sitara ARM Processors Oct 2014 2 ARM SoC

More information

Going Linux on Massive Multicore

Going Linux on Massive Multicore Embedded Linux Conference Europe 2013 Going Linux on Massive Multicore Marta Rybczyńska 24th October, 2013 Agenda Architecture Linux Port Core Peripherals Debugging Summary and Future Plans 2 Agenda Architecture

More information

How To Design A Single Chip System Bus (Amba) For A Single Threaded Microprocessor (Mma) (I386) (Mmb) (Microprocessor) (Ai) (Bower) (Dmi) (Dual

How To Design A Single Chip System Bus (Amba) For A Single Threaded Microprocessor (Mma) (I386) (Mmb) (Microprocessor) (Ai) (Bower) (Dmi) (Dual Architetture di bus per System-On On-Chip Massimo Bocchi Corso di Architettura dei Sistemi Integrati A.A. 2002/2003 System-on on-chip motivations 400 300 200 100 0 19971999 2001 2003 2005 2007 2009 Transistors

More information

MicroBlaze Debug Module (MDM) v3.2

MicroBlaze Debug Module (MDM) v3.2 MicroBlaze Debug Module (MDM) v3.2 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

Open Flow Controller and Switch Datasheet

Open Flow Controller and Switch Datasheet Open Flow Controller and Switch Datasheet California State University Chico Alan Braithwaite Spring 2013 Block Diagram Figure 1. High Level Block Diagram The project will consist of a network development

More information

ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-17: Memory organisation, and types of memory

ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-17: Memory organisation, and types of memory ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-17: Memory organisation, and types of memory 1 1. Memory Organisation 2 Random access model A memory-, a data byte, or a word, or a double

More information

Introduction to AMBA 4 ACE and big.little Processing Technology

Introduction to AMBA 4 ACE and big.little Processing Technology Introduction to AMBA 4 and big.little Processing Technology Ashley Stevens Senior FAE, Fabric and Systems June 6th 2011 Updated July 29th 2013 Page 1 of 15 Why AMBA 4? The continual requirement for more

More information

SBC8600B Single Board Computer

SBC8600B Single Board Computer SBC8600B Single Board Computer 720MHz TI s Sitara AM3359 ARM Cortex-A8 Microprocessor Onboard 512MByte DDR3 SDRAM and 512MByte NAND Flash UARTs, 2*USB Host and 1*OTG, 2*Ethernet, CAN, RS485, LCD/TSP, Audio,

More information

ARM Ltd 110 Fulbourn Road, Cambridge, CB1 9NJ, UK. *peter.harrod@arm.com

ARM Ltd 110 Fulbourn Road, Cambridge, CB1 9NJ, UK. *peter.harrod@arm.com Serial Wire Debug and the CoreSight TM Debug and Trace Architecture Eddie Ashfield, Ian Field, Peter Harrod *, Sean Houlihane, William Orme and Sheldon Woodhouse ARM Ltd 110 Fulbourn Road, Cambridge, CB1

More information

SBC6245 Single Board Computer

SBC6245 Single Board Computer SBC6245 Single Board Computer 400MHz Atmel AT91SAM9G45 ARM 926EJ-STM Microcontroller On Board 128MB Nand Flash plus 128MB DDR2 SDRAM RS232, RS485, Ethernet, USB Host, LCD, Touch Screen, RTC, Supports for

More information

Model-based system-on-chip design on Altera and Xilinx platforms

Model-based system-on-chip design on Altera and Xilinx platforms CO-DEVELOPMENT MANUFACTURING INNOVATION & SUPPORT Model-based system-on-chip design on Altera and Xilinx platforms Ronald Grootelaar, System Architect RJA.Grootelaar@3t.nl Agenda 3T Company profile Technology

More information

SPEAr family of embedded microprocessors

SPEAr family of embedded microprocessors SPEAr family of embedded microprocessors STMicroelectronics www.st.com/spear SPEAr devices, based on ARM core architecture, offer substantial processing power and wide peripheral support Embedded applications

More information

DS1104 R&D Controller Board

DS1104 R&D Controller Board DS1104 R&D Controller Board Cost-effective system for controller development Highlights Single-board system with real-time hardware and comprehensive I/O Cost-effective PCI hardware for use in PCs Application

More information

Developing Embedded Applications with ARM Cortex TM -M1 Processors in Actel IGLOO and Fusion FPGAs. White Paper

Developing Embedded Applications with ARM Cortex TM -M1 Processors in Actel IGLOO and Fusion FPGAs. White Paper Developing Embedded Applications with ARM Cortex TM -M1 Processors in Actel IGLOO and Fusion FPGAs White Paper March 2009 Table of Contents Introduction......................................................................

More information

Hardware accelerated Virtualization in the ARM Cortex Processors

Hardware accelerated Virtualization in the ARM Cortex Processors Hardware accelerated Virtualization in the ARM Cortex Processors John Goodacre Director, Program Management ARM Processor Division ARM Ltd. Cambridge UK 2nd November 2010 Sponsored by: & & New Capabilities

More information

Freescale Semiconductor, Inc. Product Brief Integrated Portable System Processor DragonBall ΤΜ

Freescale Semiconductor, Inc. Product Brief Integrated Portable System Processor DragonBall ΤΜ nc. Order this document by MC68328/D Microprocessor and Memory Technologies Group MC68328 MC68328V Product Brief Integrated Portable System Processor DragonBall ΤΜ As the portable consumer market grows

More information

System Considerations

System Considerations System Considerations Interfacing Performance Power Size Ease-of Use Programming Interfacing Debugging Cost Device cost System cost Development cost Time to market Integration Peripherals Different Needs?

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Prof. David Lariviere Columbia University Spring 2014 Overview What are IP Cores? Altera Design Tools for using and integrating IP Cores Overview of various IP Core Interconnect

More information

Eureka Technology. Understanding SD, SDIO and MMC Interface. by Eureka Technology Inc. May 26th, 2011. Copyright (C) All Rights Reserved

Eureka Technology. Understanding SD, SDIO and MMC Interface. by Eureka Technology Inc. May 26th, 2011. Copyright (C) All Rights Reserved Understanding SD, SDIO and MMC Interface by Eureka Technology Inc. May 26th, 2011 Copyright (C) All Rights Reserved Copyright by Eureka Technology Inc. All Rights Reserved Introduction This white paper

More information

Network connectivity controllers

Network connectivity controllers Network connectivity controllers High performance connectivity solutions Factory Automation The hostile environment of many factories can have a significant impact on the life expectancy of PCs, and industrially

More information

AN10850. LPC1700 timer triggered memory to GPIO data transfer. Document information. LPC1700, GPIO, DMA, Timer0, Sleep Mode

AN10850. LPC1700 timer triggered memory to GPIO data transfer. Document information. LPC1700, GPIO, DMA, Timer0, Sleep Mode LPC1700 timer triggered memory to GPIO data transfer Rev. 01 16 July 2009 Application note Document information Info Keywords Abstract Content LPC1700, GPIO, DMA, Timer0, Sleep Mode This application note

More information

Getting Started with Embedded System Development using MicroBlaze processor & Spartan-3A FPGAs. MicroBlaze

Getting Started with Embedded System Development using MicroBlaze processor & Spartan-3A FPGAs. MicroBlaze Getting Started with Embedded System Development using MicroBlaze processor & Spartan-3A FPGAs This tutorial is an introduction to Embedded System development with the MicroBlaze soft processor and low

More information

Details of a New Cortex Processor Revealed. Cortex-A9. ARM Developers Conference October 2007

Details of a New Cortex Processor Revealed. Cortex-A9. ARM Developers Conference October 2007 Details of a New Cortex Processor Revealed Cortex-A9 ARM Developers Conference October 2007 1 ARM Pioneering Advanced MP Processors August 2003 May 2004 August 2004 April 2005 June 2007 ARM shows first

More information

A case study of mobile SoC architecture design based on transaction-level modeling

A case study of mobile SoC architecture design based on transaction-level modeling A case study of mobile SoC architecture design based on transaction-level modeling Eui-Young Chung School of Electrical & Electronic Eng. Yonsei University 1 EUI-YOUNG(EY) CHUNG, EY CHUNG Outline Introduction

More information

SABRE Lite Development Kit

SABRE Lite Development Kit SABRE Lite Development Kit Freescale i.mx 6Quad ARM Cortex A9 processor at 1GHz per core 1GByte of 64-bit wide DDR3 @ 532MHz UART, USB, Ethernet, CAN, SATA, SD, JTAG, I2C Three Display Ports (RGB, LVDS

More information

High-Performance, Highly Secure Networking for Industrial and IoT Applications

High-Performance, Highly Secure Networking for Industrial and IoT Applications High-Performance, Highly Secure Networking for Industrial and IoT Applications Table of Contents 2 Introduction 2 Communication Accelerators 3 Enterprise Network Lineage Features 5 Example applications

More information

Simplifying Embedded Hardware and Software Development with Targeted Reference Designs

Simplifying Embedded Hardware and Software Development with Targeted Reference Designs White Paper: Spartan-6 and Virtex-6 FPGAs WP358 (v1.0) December 8, 2009 Simplifying Embedded Hardware and Software Development with Targeted Reference Designs By: Navanee Sundaramoorthy FPGAs are becoming

More information

SoC IP Interfaces and Infrastructure A Hybrid Approach

SoC IP Interfaces and Infrastructure A Hybrid Approach SoC IP Interfaces and Infrastructure A Hybrid Approach Cary Robins, Shannon Hill ChipWrights, Inc. ABSTRACT System-On-Chip (SoC) designs incorporate more and more Intellectual Property (IP) with each year.

More information

MVME162P2. VME Embedded Controller with Two IP Slots

MVME162P2. VME Embedded Controller with Two IP Slots MVME162P2 VME Embedded Controller with Two IP Slots [Advantages] [Details] [Specifications] [Ordering Info] [.pdf version ] 25 MHz MC68040 with floating point coprocessor or 25 MHz MC68LC040 High-performance

More information

Overview of the Cortex-M3

Overview of the Cortex-M3 CHAPTER Overview of the Cortex-M3 2 In This Chapter Fundamentals 11 Registers 12 Operation Modes 14 The Built-In Nested Vectored Interrupt Controller 15 The Memory Map 16 The Bus Interface 17 The MPU 18

More information

Open Architecture Design for GPS Applications Yves Théroux, BAE Systems Canada

Open Architecture Design for GPS Applications Yves Théroux, BAE Systems Canada Open Architecture Design for GPS Applications Yves Théroux, BAE Systems Canada BIOGRAPHY Yves Théroux, a Project Engineer with BAE Systems Canada (BSC) has eight years of experience in the design, qualification,

More information

Full Power Domain SLCR (FPD_SLCR)

Full Power Domain SLCR (FPD_SLCR) Full Power Domain SLCR (FPD_SLCR) Module Name Base Address Description Vendor Info Register Summary Full Power Domain SLCR (FPD_SLCR) 0xFD610000 FPD_SLCR Global system level control registers for the full

More information

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Embedded Processor Hardware Design January 29 th 2015. VIVADO TUTORIAL 1 Table of Contents Requirements... 3 Part 1:

More information

A Safety Methodology for ADAS Designs in FPGAs

A Safety Methodology for ADAS Designs in FPGAs A Safety Methodology for ADAS Designs in FPGAs WP-01204-1.0 White Paper This white paper discusses the use of Altera FPGAs in safety-critical Advanced Driver Assistance Systems (ADAS). It looks at the

More information

A Survey on ARM Cortex A Processors. Wei Wang Tanima Dey

A Survey on ARM Cortex A Processors. Wei Wang Tanima Dey A Survey on ARM Cortex A Processors Wei Wang Tanima Dey 1 Overview of ARM Processors Focusing on Cortex A9 & Cortex A15 ARM ships no processors but only IP cores For SoC integration Targeting markets:

More information

How to Use Interrupts on the Zynq SoC

How to Use Interrupts on the Zynq SoC XPLANATION: FPGA 101 How to Use Interrupts on the Zynq SoC by Adam P. Taylor Head of Engineering Systems e2v Technologies aptaylor@theiet.org 38 Xcell Journal Second Quarter 2014 Real-time computing often

More information

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Design of a High Speed Communications Link Using Field Programmable Gate Arrays Customer-Authored Application Note AC103 Design of a High Speed Communications Link Using Field Programmable Gate Arrays Amy Lovelace, Technical Staff Engineer Alcatel Network Systems Introduction A communication

More information

Five Families of ARM Processor IP

Five Families of ARM Processor IP ARM1026EJ-S Synthesizable ARM10E Family Processor Core Eric Schorn CPU Product Manager ARM Austin Design Center Five Families of ARM Processor IP Performance ARM preserves SW & HW investment through code

More information

Cortex -A7 MPCore. Technical Reference Manual. Revision: r0p5. Copyright 2011-2013 ARM. All rights reserved. ARM DDI 0464F (ID080315)

Cortex -A7 MPCore. Technical Reference Manual. Revision: r0p5. Copyright 2011-2013 ARM. All rights reserved. ARM DDI 0464F (ID080315) Cortex -A7 MPCore Revision: r0p5 Technical Reference Manual Copyright 2011-2013 ARM. All rights reserved. ARM DDI 0464F () Cortex-A7 MPCore Technical Reference Manual Copyright 2011-2013 ARM. All rights

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Using a Generic Plug and Play Performance Monitor for SoC Verification

Using a Generic Plug and Play Performance Monitor for SoC Verification Using a Generic Plug and Play Performance Monitor for SoC Verification Dr. Ambar Sarkar Kaushal Modi Janak Patel Bhavin Patel Ajay Tiwari Accellera Systems Initiative 1 Agenda Introduction Challenges Why

More information

PowerPC 405 GP Overview

PowerPC 405 GP Overview PowerPC 405 GP Overview Marcelo T Pereira LISHA/UFSC trier@lisha.ufsc.br http://www.lisha.ufsc.br/~trier October 1, 2002 October 1, 2002 Marcelo T Pereira (http://www lisha ufsc br) 1 Khomp Plataform Software/Hardware

More information

A Generic Network Interface Architecture for a Networked Processor Array (NePA)

A Generic Network Interface Architecture for a Networked Processor Array (NePA) A Generic Network Interface Architecture for a Networked Processor Array (NePA) Seung Eun Lee, Jun Ho Bahn, Yoon Seok Yang, and Nader Bagherzadeh EECS @ University of California, Irvine Outline Introduction

More information

Outline. Introduction. Multiprocessor Systems on Chip. A MPSoC Example: Nexperia DVP. A New Paradigm: Network on Chip

Outline. Introduction. Multiprocessor Systems on Chip. A MPSoC Example: Nexperia DVP. A New Paradigm: Network on Chip Outline Modeling, simulation and optimization of Multi-Processor SoCs (MPSoCs) Università of Verona Dipartimento di Informatica MPSoCs: Multi-Processor Systems on Chip A simulation platform for a MPSoC

More information

OpenSPARC T1 Processor

OpenSPARC T1 Processor OpenSPARC T1 Processor The OpenSPARC T1 processor is the first chip multiprocessor that fully implements the Sun Throughput Computing Initiative. Each of the eight SPARC processor cores has full hardware

More information

Hello, and welcome to this presentation of the STM32L4 reset and clock controller.

Hello, and welcome to this presentation of the STM32L4 reset and clock controller. Hello, and welcome to this presentation of the STM32L4 reset and clock controller. 1 The STM32L4 reset and clock controller manages system and peripheral clocks. STM32L4 devices embed three internal oscillators,

More information

Exploring the Design of the Cortex-A15 Processor ARM s next generation mobile applications processor. Travis Lanier Senior Product Manager

Exploring the Design of the Cortex-A15 Processor ARM s next generation mobile applications processor. Travis Lanier Senior Product Manager Exploring the Design of the Cortex-A15 Processor ARM s next generation mobile applications processor Travis Lanier Senior Product Manager 1 Cortex-A15: Next Generation Leadership Cortex-A class multi-processor

More information

Migrating Application Code from ARM Cortex-M4 to Cortex-M7 Processors

Migrating Application Code from ARM Cortex-M4 to Cortex-M7 Processors Migrating Application Code from ARM Cortex-M4 to Cortex-M7 Processors Joseph Yiu and Robert Boys January 2015 Version 1.1 The latest version of this document is here: /appnotes/docs/apnt_270.asp 1 Cortex

More information

The ARM Architecture. With a focus on v7a and Cortex-A8

The ARM Architecture. With a focus on v7a and Cortex-A8 The ARM Architecture With a focus on v7a and Cortex-A8 1 Agenda Introduction to ARM Ltd ARM Processors Overview ARM v7a Architecture/Programmers Model Cortex-A8 Memory Management Cortex-A8 Pipeline 2 ARM

More information

System-on-a-Chip with Security Modules for Network Home Electric Appliances

System-on-a-Chip with Security Modules for Network Home Electric Appliances System-on-a-Chip with Security Modules for Network Home Electric Appliances V Hiroyuki Fujiyama (Manuscript received November 29, 2005) Home electric appliances connected to the Internet and other networks

More information

A Design of Video Acquisition and Transmission Based on ARM. Ziqiang Hao a, Hongzuo Li b

A Design of Video Acquisition and Transmission Based on ARM. Ziqiang Hao a, Hongzuo Li b A Design of Video Acquisition and Transmission Based on ARM Ziqiang Hao a, Hongzuo Li b Changchun University of Science & Technology, Changchun, Jilin, China a shuil47@163.com, b lihongzuo@sohu.com Keywords:video

More information

Concept Engineering Adds JavaScript-based Web Capabilities to Nlview at DAC 2016

Concept Engineering Adds JavaScript-based Web Capabilities to Nlview at DAC 2016 KAL - Large IP Cores: Memory Controllers: SD/SDIO 2.0/3.0 Controller SDRAM Controller DDR/DDR2/DDR3 SDRAM Controller NAND Flash Controller Flash/EEPROM/SRAM Controller Dear , Concept Engineering

More information

AppliedMicro Trusted Management Module

AppliedMicro Trusted Management Module AppliedMicro Trusted Management Module Majid Bemanian, Sr. Director of Marketing, Applied Micro Processor Business Unit July 12, 2011 Celebrating 20 th Anniversary of Power Architecture 1 AppliedMicro

More information

Embedded Systems on ARM Cortex-M3 (4weeks/45hrs)

Embedded Systems on ARM Cortex-M3 (4weeks/45hrs) Embedded Systems on ARM Cortex-M3 (4weeks/45hrs) Course & Kit Contents LEARN HOW TO: Use of Keil Real View for ARM Use ARM Cortex-M3 MCU for professional embedded application development Understanding

More information

Atmel SMART ARM Core-based Embedded Microprocessors

Atmel SMART ARM Core-based Embedded Microprocessors Atmel SMART ARM Core-based Embedded Microprocessors High Performance, Power Efficient, Easy to Use Atmel SMART SAMA5 ARM Cortex-A5 MPUs Core Sub-System Memory Connectivity Device Name Core VFPU / NEON

More information

PCI Express: The Evolution to 8.0 GT/s. Navraj Nandra, Director of Marketing Mixed-Signal and Analog IP, Synopsys

PCI Express: The Evolution to 8.0 GT/s. Navraj Nandra, Director of Marketing Mixed-Signal and Analog IP, Synopsys PCI Express: The Evolution to 8.0 GT/s Navraj Nandra, Director of Marketing Mixed-Signal and Analog IP, Synopsys PCIe Enterprise Computing Market Transition From Gen2 to Gen3 Total PCIe instances. 2009

More information

Atmel Norway 2005. XMEGA Introduction

Atmel Norway 2005. XMEGA Introduction Atmel Norway 005 XMEGA Introduction XMEGA XMEGA targets Leadership on Peripheral Performance Leadership in Low Power Consumption Extending AVR market reach XMEGA AVR family 44-100 pin packages 16K 51K

More information

SEC2410/SEC4410 HS Endpoint Processor with USB 2.0, Smart Card, & FMC for Secure Token & Storage

SEC2410/SEC4410 HS Endpoint Processor with USB 2.0, Smart Card, & FMC for Secure Token & Storage SEC2410/SEC4410 HS Endpoint Processor with USB 2.0, Smart Card, & FMC for Secure Token & Storage PRODUCT FEATURES Data Brief General Description The SMSC SEC2410/SEC4410 are USB 2.0 compliant, hi-speed

More information

SoC-Based Microcontroller Bus Design In High Bandwidth Embedded Applications

SoC-Based Microcontroller Bus Design In High Bandwidth Embedded Applications SoC-Based Microcontroller Bus Design In High Bandwidth Embedded Applications White Paper Abstract 32-bit embedded designs increasingly require real-time control of highbandwidth data streams over a network.

More information

Software Development Environment

Software Development Environment Software Development Environment Zynq 14.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Understand the basic

More information

Hybrid Platform Application in Software Debug

Hybrid Platform Application in Software Debug Hybrid Platform Application in Software Debug Jiao Feng July 15 2015.7.15 Software costs in SoC development 2 Early software adoption Previous Development Process IC Development RTL Design Physical Design

More information

Wireless Microcontrollers for Environment Management, Asset Tracking and Consumer. October 2009

Wireless Microcontrollers for Environment Management, Asset Tracking and Consumer. October 2009 Wireless Microcontrollers for Environment Management, Asset Tracking and Consumer October 2009 Jennic highlights Jennic is a fabless semiconductor company providing Wireless Microcontrollers to high-growth

More information