Effects of Photo Resist Erosion in Development on Critical Dimension Performance for 45nm Node and below

Similar documents
A Study of Haze Generation as Thin Film Materials

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b

Using the Normalized Image Log-Slope, part 3

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson

PROVE, the next generation registration metrology tool, status report

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system

Utilization of AIMS Bossung plots to predict Qz height deviations from nominal

Photolithography. Class: Figure Various ways in which dust particles can interfere with photomask patterns.

... complement Information for Processing

Measuring Line Edge Roughness: Fluctuations in Uncertainty

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization

Supporting information

The Optimization and Characterization of Ultra-Thick Photoresist Films

Process Improvements for Ultra-Thick Photoresist Using a Broadband Stepper

Characterization of an Ultra-Thick Positive Photoresist for Electroplating Applications

Additional evidence of EUV blank defects first seen by wafer printing

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Injection moulding and modelling on a micro scale

Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool

How To Calculate Thermal Resistance On A Pb (Plastipo)

III. Wet and Dry Etching

Optimization of Photosensitive Polyimide Process for Cost Effective Packaging

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Microlithographic Pattern Generation for Optics

Radiographic Grid. Principles of Imaging Science II (RAD 120) Image-Forming X-Rays. Radiographic Grids

GAFCHROMIC DOSIMETRY MEDIA, TYPE HD-V2

Sn-Cu Intermetallic Grain Morphology Related to Sn Layer Thickness

Techniques for removal of contamination from EUVL mask without surface damage

Development of High-Speed High-Precision Cooling Plate

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

GAFCHROMIC DOSIMETRY MEDIA TYPE MD-V3

Concepts and principles of optical lithography

CHAPTER 6 WEAR TESTING MEASUREMENT

CYCLOTENE Advanced Electronics Resins (Photo BCB) Processing Procedures for 20µm Photo-BCB Layers Using XUS35078 type 3

Using the Normalized Image Log-Slope

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS

How To Make Money From Semiconductor Production

Lithography Part I September, 5 th 2013

EUV lithography NXE platform performance overview

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

Computer simulation of coating processes with monochromatic monitoring

Mask Cleaning Processes and Challenges

Bending, Forming and Flexing Printed Circuits

Basic Electronics Prof. Dr. Chitralekha Mahanta Department of Electronics and Communication Engineering Indian Institute of Technology, Guwahati

Enhancement of Breakdown Strength and Energy Density in

Detection and Restoration of Vertical Non-linear Scratches in Digitized Film Sequences

Effect of Ambient Conditions on Thermal Properties of Photovoltaic Cells: Crystalline and Amorphous Silicon

Sheet Resistance = R (L/W) = R N L

Big Data Analytics and Decision Analysis for Manufacturing Intelligence to Empower Industry 3.5

Power Dissipation Considerations in High Precision Vishay Sfernice Thin Film Chips Resistors and Arrays (P, PRA etc.) (High Temperature Applications)

RFID Receiver Antenna Project for Mhz Band

Corning HPFS 7979, 7980, 8655 Fused Silica. Optical Materials Product Information Specialty Materials Division

ECE 533 Project Report Ashish Dhawan Aditi R. Ganesan

Standard Test Method for Classification of Film Systems for Industrial Radiography 1

Flow characteristics of microchannel melts during injection molding of microstructure medical components

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Package Trends for Mobile Device

Integration of a fin experiment into the undergraduate heat transfer laboratory

A beamer tutorial. LayoutBeamer, from GenISys GmbH. M. Rooks, Yale University

Paper No APPLICATION OF EQCM TO THE STUDY OF CO2 CORROSION

Electrical tests on PCB insulation materials and investigation of influence of solder fillets geometry on partial discharge

Optical Design Tools for Backlight Displays

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Investor Presentation Q3 2015

Silicon-On-Glass MEMS. Design. Handbook

How To Increase Areal Density For A Year

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Assessment of Camera Phone Distortion and Implications for Watermarking

Graduate Student Presentations

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Impact of Reflectors on Solar Energy Systems

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Practice Problems on Boundary Layers. Answer(s): D = 107 N D = 152 N. C. Wassgren, Purdue University Page 1 of 17 Last Updated: 2010 Nov 22

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing

3D NAND Technology Implications to Enterprise Storage Applications

Sputtering by Particle Bombardment I

MIT Manufacturing Processes and Systems. Homework 6 Solutions. Casting. October 15, Figure 1: Casting defects

METHODS FOR ACHIEVEMENT UNIFORM STRESSES DISTRIBUTION UNDER THE FOUNDATION

Experiment SURFACE TENSION OF LIQUIDS. Experiment 1, page 1 Version of June 17, 2016

Session 7 Bivariate Data and Analysis

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Effect of design parameters on temperature rise of windings of dry type electrical transformer

Scanners and How to Use Them

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

Magnetic Data Storage and Nanoparticles Ernie Chang

High Resolution Spatial Electroluminescence Imaging of Photovoltaic Modules

Ultra-High Density Phase-Change Storage and Memory

Holes & Selective Laser Sintering

Correcting the Lateral Response Artifact in Radiochromic Film Images from Flatbed Scanners

Application Note. The Optimization of Injection Molding Processes Using Design of Experiments

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

An Overview of the Finite Element Analysis

Shape Dictionary YR to Y6

Transcription:

Effects of Photo Resist Erosion in Development on Critical Dimension Performance for 45nm Node and below Guen-Ho Hwang, Dong-Hyun Kim, Chu-bong Yu, Byeng-Sun Kang, Ik-Boum Hur, Cheol Shin, Sung-Mo Jung, Sang-Soo Choi PKL - Photronics R&D Center 493-3 Sung sung, Cheonan, Choongnam, 3-, South Korea Phone: +82-41-529-419 FAX: +82-41-529-44 E-Mail: ghhwang@pkl.co.kr ABSTACT In previous study, it has been reported that photo resist erosion after development gets severe as patterns size decreases. The 6nm feature requiring for SRAF(Sub Resolution Assistant Feature) of 45nm technology node, the photo resist erosion after develop on unexposed area was almost 4Å. It will be a serious problem to degrade not only the resist thickness margin for thinner resist to enhance resolution and pattern collapse, but also CD(Critical Dimension) performance capability such as CD linearity and SRAF resolution capability by proceeding dry etching. In this paper, the effects of photo resist erosion by pattern size on CD linearity performance were studied. The photo resist erosion by pattern size was simulated with the Gaussian blur model before dry etching. The effects of dosage, PEB(Post Exposure Bake) temperature and development conditions were evaluated to reduce blur value before dry etching. Keywords : Photo resist erosion, CD linearity, Blur before dry etching, Gaussian blur model 1. INTRODUCTION As wafer lithography continues to scale down to 45nm and below technology, resolution capability of photo mask manufacturing also has been become tighten dramatically. Minimum feature size requiring for 45nm technology is 6nm as SRAFs for RET according to 7 ITRS(International Technical Roadmap for Semiconductors). In previous study, photo resist erosion by pattern size was observed for isolated line after development. It was almost 4 Å for 6nm feature on FEP171 Å thickness. 1 It will be a serious problem not only the resist thickness margin for thinner resist to enhance resolution and pattern collapses, but also CD performance like linearity and SRAF resolution capability by proceeding dry etching. Photo resist erosion by pattern size already has been known as a result of latent image degradation. 2 Gaussian Photomask Technology 8, edited by Hiroichi Kawahira, Larry S. Zurbrick, Proc. of SPIE Vol. 7122, 71223A 8 SPIE CCC code: 277-786X/8/$18 doi: 1.1117/12.82741 Proc. of SPIE Vol. 7122 71223A-1

distribution has been used for calculating the magnitude of latent image degradation by considering a single standard deviation as a cumulative root-square-sum of each error components. The total blur calculated from measured CD linearity already has been reported, which includes all error components, such as e-beam response chemistry of photo resist, space charge effect and shot noise on e-beam writing, photo acid diffusion during PEB, resist dissolution on develop process and dry etching. 3 The total blur needs to be reduced for better CD linearity and pattern fidelity. In this paper, we calculated the blurs from photo resist erosion by pattern size before dry etching and total blur from CD linearity after stripping. The blur added from dry etching could be estimated as deducting the blur before dry etching from the total blur. The CD after development couldn t be used as blur before dry etching, due to resist shrinkage by CD SEM. 4 Effects of blurs before dry etching on CD linearity were studied. The blur contributed from dry etching was increased dramatically, as the blur before dry etching increases. The large blur before dry etching means poor resist slope. In order to improve the total blur, the blur before dry etching should be improved firstly. Finally, we have evaluated how amount of blur before dry etching can be improved by various process factors like dosage, PEB temperature, and develop conditions. 2. EXPERIMENTAL 2.1 Materials and Equipment Binary COG blanks coated with FEP171 Å were used for all experiments. Exposure was conducted on the 5keV VSB e-beam writer and PEB, resist development, dry etching for chrome, resist stripping, and cleaning were followed. CD linearity data were measured on CD SEM. Cross-sectional images were obtained with Hitachi SEM. Remaining resist thickness was measured by AFM. 2.2 Test pattern design In 5keV e-beam writer, the radius of energy distribution for exposed area called proximity effect is approximately µm. When there are some patterns within µm, the effective dosages are increased because of backscattered electrons. It can be compensated by PEC(Proximity Effect Correction) depending on pattern density. Also, The shot size for clear pattern in positive resist is compensated with adjusting gain control at VSB e-beam writer. PEB temperature Position (1,1) Dosage Position (11,11) Figure 1. Test pattern design. Proc. of SPIE Vol. 7122 71223A-2

Only isolated line patterns were only used for CD linearity and photo resist erosion by pattern size. Isolated lines were designed to be 5µm away from neighbored patterns to eliminate PEC effect. Pattern size designed from 5nm to nm by step 1nm and from nm to 1nm by step 1nm. Then, those are arrayed in 11 by 11 on the mask as shown in Figure 1. 2.3 Design of experiment condition. Dosages were applied top to bottom and increased from 8µC to 1µC by.2µc for each chips. Temperature from 11 o C to 1 o C on PEB was achieved from left to right across mask with zone controllable bake machine. 5 Two develop conditions were applied. 2.4 Gaussian distribution for blur calculation. In 5keV VSB e-beam writer, the effective amount of radiated e-beam on pattern boundary can be expressed for space pattern like below, I(x) 2 1 ' ' (x - x' ) 1 ' ' (x - = dx D(x )exp + 2 dxηd(x )exp 2 2πσ 2σ f f 2πσ 2σ b b D I(x) = 2 ρ B ( w, x) +ηρd f ρ B ( w, x) b x' ) 2 D ρ : Dosage by local pattern density ρ : Local pattern density σ f : Forward scattering σ b : Backward scattering η : Ratio of backscattering to forward scattering Where backscattering range is much bigger than pattern width, backscattering energy component can be approximated as a dose background ηρd ρ. Gaussian energy distribution can be used for calculating the magnitude of latent image degradation by considering the sigma(σ f ) as a cumulative root-square sum of each error components. In blur calculation, The threshold energy defining resist CD, E th was firstly adjusted to match well with measured CDs of patterns, and then fixed. The resist sensitivity to dosage intensity was taken by fitting resist contrast curve of FEP171. It was applied for Gaussian blur model to simulate resist profile and thickness remained after development. 3. RESULTS AND DISCUSSION 3.1 Blur calculation and resist profile simulation by Gaussian blur model The total blur calculated from CD linearity has been already reported, which includes all error components, such as e- beam response chemistry of photo resist, space charge effect and shot noise in e-beam writing, photo acid diffusion at PEB, photo resist dissolution at develop process and dry etching. Proc. of SPIE Vol. 7122 71223A-3

The blur before dry etching also can be calculated from photo resist erosion by pattern size as well as CD linearity after development using Gaussian blur model, because they must be a result of latent image degradation from all error components before dry etching. Once the blur before dry etching is calculated, the blur added by dry etching can be expressed by below equation. δ blur added during dry etching = δ total blur δ blur before dry etching A. Total blur from CD linearity 6 4 Measured CD linearity Simulated CD linearity for blur 32nm 5 1 15 25 Pattern Size [nm] Figure 2. CD linearity comparison between measured CD and simulation with 32nm blur Figure 2 shows the measured CD linearity and simulated one with 32nm blur. Gaussian blur model match well with measured CD linearity as shown in Figure 2. B. Blur from photo resist erosion by pattern size The blur before dry etching can be calculated from whether resist CD linearity after development or photo resist erosion by pattern size as explained before. [Measured CD - Design], [nm] 1nm 1nm 1 1 4-1 The numbers of measurement - - Figure 3. CD variations with number of measurements for 1nm and 1nm line resist patterns by CD SEM. Proc. of SPIE Vol. 7122 71223A-4

So, photo resist erosion by pattern size was used to calculate the blur before dry etching. Figure 4 is simulated photo resist erosion by Gaussian blur model with blur 26nm and measured data. The Gaussian blur model also has matched well with measured photo resist erosion by pattern size. Measured resist thickness Simulated resist thickness Resist Thickness [nm] 1 5 1 15 25 Pattern Size [nm] Figure 4. Resist erosion comparison between simulation results with blur 26nm and measurement. C. Resist profile simulation by Gaussian blur model Figure 5 are the measured resist images by CD SEM and simulated resist profiles for nm and 8nm isolated line by Gaussian blur model with 24nm blur before dry etching, respectively. The height and top corner degradation of simulated resist pattern profiles were matched quite well with experimental data. But, the bottom of profiles wasn t matched with resist foots because of interaction between resist and chrome substrate. As for smaller features, the height is decreased and top corner rounding become more serious as shown in Figure 5. nm 8nm - -1 1 - -1 1 Figure 5. Resist images after development by vertical SEM and simulation (solid line) by Gaussian blur model with 26nm blur for, (a) nm isolated line, and (b) 8nm isolated line. Proc. of SPIE Vol. 7122 71223A-5

D. Blur contributed by dry etching 5 Group A 4 Blur [nm] Group B 1 Total blur Blur before dry etching (11,11) (11, 1) (1,11) (1, 1) Positions Figure 6. Total blurs from CD linearity and the blurs before dry etching by pattern size, for various process conditions. Figure 6 is total blurs and the blurs before dry etching for several positions with different process conditions, like dosages, PEB temperatures. Total blurs were always larger than blurs before dry etching. The differences can be regarded as blurs added during dry etching. In Figure 6, group A was represented for 2 positions with lager blur before dry etching comparatively. Group B was for another 2 positions with smaller blur before dry etching. The blurs added during dry etching of group A were much larger than group B. It means that blur added during dry etching was increased drastically depending on initial blur before dry etching. It will result total blur increase. First of all, we should improve the initial blur before dry etching to improve CD linearity and pattern fidelity. E. CD linearity change during dry etching 6 Simulated CD linearity after dry etching Simulated CD linearity before dry etching 6 Simulated CD linearity after dry etching Simulated CD linearity before dry etching Cliff shift : ~ 6nm 45 Cliff shift : < 1nm 45 15 5 6 7 8 9 1 11 Pattern Size [nm] 15 8 9 1 11 1 1 14 Pattern Size [nm] Figure 7. CD linearity change during dry etching, (a) blur before dry etching 24nm, (b) blur before dry etching 35nm Proc. of SPIE Vol. 7122 71223A-6

Figure 7 is simulated CD linearity change before and after dry etching, blur before dry etching 24nm and 35nm, respectively. When only CD movement of vertical direction is considered in dry etching, we can obtain the CD linearity after dry etching. The cliff of CD linearity for blur 24nm was shifted little after dry etching. But, It was shifted by 6nm for blur 35nm as shown in Figure 7. 6 Figure 8 shows the simulated resist profiles of 1nm line pattern for blurs before dry etching, 24nm and 35nm. As blur before dry etching increases, photo resist erosion and angle become worse as shown in Figure 8. It must be resulted in CD linearity degradation, depending on blur before dry etching. Blur 24nm Blur nm - -1 1 - -1 1 Figure 8. Simulated resist profile of 1nm line pattern for, (a) blur 24nm, and (b) blur 35nm 3.2 Effect of process parameters on blur before dry etching In this section, effects of dosage, PEB temperatures, and development conditions were discussed to reduce blur before dry etching. A. Dosage effect Figure 9(a) shows blur before dry etching for dosage 8µC and 1µC. Figure 9(b) is PEB latitude for dosage 8µC and 1µC. As lowering dosage by 2µC, the blur before dry etching was improved by 9nm. PEB latitudes are.31nm/ o C for 1µC and.47nm/ o C for 8µC, respectively. Lower dosage(8µc) should be used for blur improvement. As for stable CD control, it s needed to use higher dosage(1µc). 4 Dosage 1µC Blur [nm] 1 Dosage 8µC.31nm/ o Cfor1µC 1-1.47nm/ o C for 8µC Dose 8 Dose 1 - PEB temperature Figure 9. (a) Blur before dry etching for dosage 8µC and 1µC with PEB 1 o C and develop condition A, (b) PEB latitude for dosage 8µC and 1µC with develop condition A. Proc. of SPIE Vol. 7122 71223A-7

B. PEB temperature effect 4 PEB 1 Blur [nm] 1 PEB 11 19.nm/µC for 11 o C 17.1nm/µC for 1 o C 1-1 PEB11 PEB1 - Dosage Figure 1. (a) Blur before dry etching for PEB 11 o C and 1 o C with 8µC dosage and develop condition A. (b) Dosage latitude for PEB 11 o C and 1 o C with develop condition A. Figure 1(a) shows blur before dry etching for PEB 11 o C and 1 o C. Figure 1(b) is the dosage latitude for PEB 11 o C and 1 o C. As for lower PEB 11 o C, the blur was reduced by 4nm. Dosage latitudes were 19nm/µC for 11 o C and 17.1nm/µC for 1 o C. So, lower PEB temperature was preferable to higher PEB temperature for blur improvement before dry etching. However, higher PEB temperature was better for CD control. Trade-off relation between blur improvement and dosage latitude was observed as like dosage effect in above. C. Develop condition effect The effects of develop conditions A and B was evaluated. Figure 11(a) shows the blur reduction before dry etching by the develop conditions. Figure 11(b) is for PEB latitude and Figure11(c) is for dosage latitude. 4 Develop B Develop B Blur [nm] 1 Develop A 1 Develop A 1-1 -1 Develop A Develop B - PEB temperature - Dosage.69nm/ o C for development B 18.9nm/µC for development B.47nm/ o C for development A 19.nm/µC for development A Figure 11. (a) Blur before dry etching for develop A and B with 8µC dosage and PEB 11 o C. (b) PEB latitude for develop A and B with 8µC dosage. (c) Dosage latitude for develop A and B with PEB 11 o C. Proc. of SPIE Vol. 7122 71223A-8

The blurs before dry etching by develop conditions were 22nm for develop A and 23nm for develop B, respectively. Dosage latitudes were 19.nm/µC for develop A and 18.9nm/µC for develop B, respectively. PEB latitudes were.69nm/ o C for develop A and.47nm/ o C for develop B, respectively. Blur improvement and dosage latitude were not effective by develop conditions. Develop A for only PEB latitude is preferable to develop B. Comparing to dosage and PEB effect, develop condition for blur improvement has been seen insignificantly. 3.3 Result summary 1) Blur before dry etching could be calculated from photo resist erosion and also matched with experimental data quite well. The resist CD linearity was not suitable due to different resist shrinkage by pattern size at CD SEM measurement. 2) Blur added during dry etching could be estimated by deducting the blur before dry etching from total blur, which estimated from CD linearity after stripping. As blurs before dry etching increase, blur added during dry etching becomes increased drastically. Therefore, blur before dry etching must be improved firstly to improve total blur, which degrades CD linearity and pattern fidelity. 3) Resist profiles could be predicted by Gaussian blur model. The height and top corner degradation of resist profiles were matched well with experimental data. However, The bottom of predicted profiles weren t matched with resist foots because of interaction between resist and chrome substrate. 4) When CDs movement of vertical direction is only considered in dry etching, CD linearity degradation after dry etching could be predicted approximately, depending on magnitude of blurs before dry etching. 5) Effect of dosage, PEB temperature, and development conditions has been investigated on blur improvement before dry etching as summarized in below, No Process parameters Dosage [µc ] PEB [ ] Develop conditions Blur before etch [nm] Stable process window Blur reduction Effect of variables on blur before etch [nm] 1 2 Dosage PEB 3 Develop 8 1 A 26 1 1 A 35 8 11 A 22 8 1 A 26 8 11 A 22 8 11 B 23 Increase Increase Insignificant Decrease 9 Decrease Insignificant 4 1 To improve blur before dry etching, dosage and PEB temperature should be lowered. But, the process window of this condition will be narrowed. They were trade-off relation between blur improvement and process window. Dosage was the most effective on blur improvement before dry etching. Comparing to dosage and PEB effect, development conditions for blur reduction has been seen insignificantly. Proc. of SPIE Vol. 7122 71223A-9

4. CONCLUSION In advanced photo mask manufacturing, smaller blur before dry etching must be required for better CD linearity, pattern fidelity and high resolution. Good resist profiles corresponding smaller blur before dry etching are indispensable. Blur calculation from resist CD linearity was not suitable due to resist shrinkage by pattern size at CD SEM measurement. So, the resist erosion by pattern size has been used for simulation of blur before dry etching with Gaussian blur model. It has shown good agreements with experimental data. Also, it enables the resist profile simulation matching well with experimental resist profile. To improve the blur before dry etching, dosages, PEB temperatures and develop conditions have been evaluated as well as for process margin. The results say that dosage and PEB temperature should be lowered and develop conditions have seen to be insignificant. However, improvement of blur before dry etching shows the trade-off relation with process margin. The photo mask processes for 45nm and below technology have to be focused on blur improvement with the expense of process margin even though blur before dry etching are mostly contributed from e-beam equipments and materials rather than process parameters. 5. REFERENCE 1. G.H. Hwang, M. Patil, S.K. Seo, C.B. Yu, I.B. Hur, D.H. Kim, and S.S. Choi, Patterning capability and limitations by pattern collapse in 45nm and below node photo mask production, Proc. SPIE, 728,73, (8) 2. J.T. Woodward, K.W. Choi, V.M. Prabhu, Characterization of the image to developed image in model EUV photo resists, Proc. SPIE, 6923,69232B, (8) 3. W.H. Cheng, Jeff Farnsworth, Fundamental limit of ebeam lithography, Proc. SPIE, 667,66724, (7) 4. Benjamin Bundaya, John Allgaira, Bryan J.Riceb, Jeff Byersc, Yohanan Avitand, SEM metrology for advanced lithographies, Proc. SPIE, 6518,65182, (7) 5. Thuc H. Dam, Andrew Jamieson, Maiying Lu, Ki-Ho Baik, PAB and PEB temperature gradient methodology for CAR optimization Proc. SPIE, 6349,63496, (6) 6. Banqiu Wu, David Chan, Cr photomask etch performance and its modeling, Proc. SPIE, 4889,667, (2) Proc. of SPIE Vol. 7122 71223A-1