CD-SEM Technologies for 65-nm Process Node

Similar documents
Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Measuring Line Edge Roughness: Fluctuations in Uncertainty

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

PROVE, the next generation registration metrology tool, status report

Application Note #503 Comparing 3D Optical Microscopy Techniques for Metrology Applications

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization

Experiment 5. Lasers and laser mode structure

Scanning Surface Inspection System with Defect-review SEM and Analysis System Solutions

Imaging Systems Laboratory II. Laboratory 4: Basic Lens Design in OSLO April 2 & 4, 2002

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society

1. Oscilloscope is basically a graph-displaying device-it draws a graph of an electrical signal.

Injection moulding and modelling on a micro scale

LAB 7 MOSFET CHARACTERISTICS AND APPLICATIONS

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

DualBeam Solutions for Electrical Nanoprobing

Nano Meter Stepping Drive of Surface Acoustic Wave Motor

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Experiment 3 Lenses and Images

Scanners and How to Use Them

SpeedLight 2D. for efficient production of printed circuit boards

CONFOCAL LASER SCANNING MICROSCOPY TUTORIAL

Personal Identity Verification (PIV) IMAGE QUALITY SPECIFICATIONS FOR SINGLE FINGER CAPTURE DEVICES

EUV lithography NXE platform performance overview

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b

Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool

Utilization of AIMS Bossung plots to predict Qz height deviations from nominal

Modeling Max-of-N Fluence Distribution for Optics Lifetime

DESIGN CHALLENGES OF TECHNOLOGY SCALING

4 SENSORS. Example. A force of 1 N is exerted on a PZT5A disc of diameter 10 mm and thickness 1 mm. The resulting mechanical stress is:

Scanning Acoustic Microscopy Training

Laser drilling up to15,000 holes/sec in silicon wafer for PV solar cells

Software-based three dimensional reconstructions and enhancements of focal depth in microphotographic images

Basic Electronics Prof. Dr. Chitralekha Mahanta Department of Electronics and Communication Engineering Indian Institute of Technology, Guwahati

Digital Camera Imaging Evaluation

PIPELINE INSPECTION UTILIZING ULTRASOUND TECHNOLOGY: ON THE ISSUE OF RESOLUTION By, M. Beller, NDT Systems & Services AG, Stutensee, Germany

Development of Ultra-Multilayer. printed circuit board

Traceability System for Manufacturer Accountability

Chapter 2 Sources of Variation

BARE PCB INSPECTION BY MEAN OF ECT TECHNIQUE WITH SPIN-VALVE GMR SENSOR

Descriptive statistics Statistical inference statistical inference, statistical induction and inferential statistics

Using the Normalized Image Log-Slope

E. K. A. ADVANCED PHYSICS LABORATORY PHYSICS 3081, 4051 NUCLEAR MAGNETIC RESONANCE

Ferromagnetic resonance imaging of Co films using magnetic resonance force microscopy

RIEGL VZ-400 NEW. Laser Scanners. Latest News March 2009

Physics 441/2: Transmission Electron Microscope

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

X-RAY TUBE SELECTION CRITERIA FOR BGA / CSP X-RAY INSPECTION

Unit 7: Normal Curves


Our Embedded Dream of the Invisible Future

PHYS 39a Lab 3: Microscope Optics

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson

Rodenstock Photo Optics

TOF FUNDAMENTALS TUTORIAL

Visual Structure Analysis of Flow Charts in Patent Images

Sheet Resistance = R (L/W) = R N L

Thin Is In, But Not Too Thin!

Frequency Response of Filters

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

High Resolution Spatial Electroluminescence Imaging of Photovoltaic Modules

International Year of Light 2015 Tech-Talks BREGENZ: Mehmet Arik Well-Being in Office Applications Light Measurement & Quality Parameters

Evaluating Surface Roughness of Si Following Selected Lapping and Polishing Processes

Pipeline External Corrosion Analysis Using a 3D Laser Scanner

QUALITY ASSURANCE FOR MOBILE RETROREFLECTIVITY UNITS

Plastic Film Texture Measurement With 3D Profilometry

Smart Card Security How Can We Be So Sure?

L-LAS-TB-CL serie. laser light curtains for inline measuring tasks

Silicon Drift Detector Product Brochure Update 2013

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications

ELECTRIC FIELD LINES AND EQUIPOTENTIAL SURFACES

Fast Z-stacking 3D Microscopy Extended Depth of Field Autofocus Z Depth Measurement 3D Surface Analysis

Embedding components within PCB substrates

Low-resolution Character Recognition by Video-based Super-resolution

Big Data Collection and Utilization for Operational Support of Smarter Social Infrastructure

Making Better Medical Devices with Multisensor Metrology

How To Model A Terahertz Detector

R/F. Efforts to Reduce Exposure Dose in Chest Tomosynthesis Targeting Lung Cancer Screening. 3. Utility of Chest Tomosynthesis. 1.

Choosing a digital camera for your microscope John C. Russ, Materials Science and Engineering Dept., North Carolina State Univ.

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material

A PC-BASED TIME INTERVAL COUNTER WITH 200 PS RESOLUTION

Projection Center Calibration for a Co-located Projector Camera System

APPLICATION NOTES: Dimming InGaN LED

Ultrasonic Wave Propagation Review

A Study of Haze Generation as Thin Film Materials

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system

Advanced VLSI Design CMOS Processing Technology

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure

Jitter Measurements in Serial Data Signals

measurements at varying irradiance spectrum, intensity and module temperature

Encoders for Linear Motors in the Electronics Industry

So far we have investigated combinational logic for which the output of the logic devices/circuits depends only on the present state of the inputs.

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing

Whitepaper. Image stabilization improving camera usability

The Basics of Scanning Electron Microscopy

Comparing Digital and Analogue X-ray Inspection for BGA, Flip Chip and CSP Analysis

Color holographic 3D display unit with aperture field division

Cloud screening and quality control algorithms for the AERONET. database

Session 7 Bivariate Data and Analysis

Transcription:

CD-SEM Technologies for 65-nm Process Node Hitachi Review Vol. 54 (25), No. 1 15 Atsuko Yamaguchi, Dr. Sci. Ryo Nakagaki Hiroki Kawada OVERVIEW: Improved measurement repeatability of new CD-SEM systems for the 65-nm node has increased demand for ways to deal with pattern roughness and other new issues that have emerged as device feature sizes have continued to shrink. Hitachi Group s newest generation CD-SEM developed for the 65-nm node and beyond, is now available. A range of elemental technologies are now under investigation to derive the full performance benefits from the new system and to deal with finer feature size processes. INTRODUCTION CD-SEM (critical-dimension scanning electron microscope) is an essential tool for measuring the fine pattern dimensions formed in semiconductor processes that require to fabricate high-quality semiconductor devices. Hitachi has developed a diverse range of elemental technologies to address the needs of ever smaller dimensions (1), and many of these innovations were incorporated in Hitachi s conventional CD-SEM. These technologies can also be applied to the latest CD-SEM developed for the 65-nm node and beyond. Yet at the same time we continue to investigate metrology related technologies to deal with the effects of pattern edge roughness on measurements and other new challenges that have emerged as features sizes continue to shrink. This paper highlights some of the new technologies developed specifically for application to Hitachi s latest CD-SEM (see Fig. 1). ROUGHNESS AND CD MEASUREMENT FUNCTIONS Roughness and Transistor Performance Measurement of pattern edge roughness becomes increasingly important as LSI technology evolves toward smaller features. The need for extremely precise measurement of LER (line-edge roughness) and LWR Measurement repeatability: Static 1. nm (3 σ) Beam energy range: 3 V to 1,6 V Resolution: 2. nm Throughput: 55 wafers per hour MAM time: < 5 s Visual field positioning accuracy: ±1 µm FOUP type mini environment system Software: Contains standard version 17 Safety standard: Complies with SEMI S2-2 MAM: move, acquire, measure FOUP: front opening unified pod Fig.1 Hitachi s New CD-SEM. Shown is a Hitachi's latest CD-SEM developed for development and mass production of 65-nm design rule process devices of 3-mm wafers.

CD-SEM Technologies for 65-nm Process Node 16 (line-width roughness) of line patterns (primarily gates) is especially crucial. This is because the gate pattern width, or the gate length, has an enormous influence on the performance of transistors (see Fig. 2). For example, an LWR with shorter frequency than transistor width W g could cause local short-channel effects that reduce the gate length causing higher device leakage current and reduced threshold voltage. Alternatively, if the LWR has longer frequency than W g, this could cause variation in gate length across multiple transistors, resulting in variation in transistor performance (1). In LSI processes for the 65-nm node and beyond, the ability to assess LER and LWR becomes critically important in addition to the concerns of earlier metrology management. Roughness and CD Measurement Specifications The usual index for LER is the edge point position while the typical index for LWR is the line width distribution as a 3-σ value (σ is the standard deviation). (a) Transistor Gate Current For example, consider an LWR measurement performed under the measurement conditions illustrated in Fig. 3. In the figure, the areas enclosed by white frames are the areas where the LWR is measured. Within these areas we first extract the pattern edge points, then derive the LWR value. The white dots are the extracted edge points. If we compare the LWR values of the longer measurement region (b) with the shorter region (a), longer frequency roughness is captured the wider the area measured, so the longer measurement region yields a larger LWR value. It is thus apparent that the LWR value is dependent on the length of the measurement area in the longitudinal direction (i.e. the measurement area length) (1), (2). Thus, the first question we have to address is what length measurement area would be most appropriate. At the same time, the spacing between sampled edge points is also important. For example, if we compare the measurement approach of region (b) with that of region (c), the larger gap between detected edge points in region (c) makes it impossible to capture a finely detailed frequency roughness. Needless to say, the accuracy of the approach shown in region (c) is far worse than that shown in region (b). So, the second question we have to consider is how finely the pattern edge points should be spaced. Now let us examine Wg Source Drain (a) Lg Line-width roughness (b) Transistor I d Short Lg (b) (c) Long Lg Vg Gate Wg: Transistor width Lg: Gate length Id: drain current Vg: gate voltage Fig. 2 Effects of Gate Pattern Line Width Roughness (LWR) on Transistor Performance. (a) Roughness with shorter frequency than transistor width Wg causes local short-channel effects. (b) Roughness with longer frequency than transistor width Wg causes variation in transistor performance. Fig. 3 Effects of Measurement Region Length and Edge Point Spacing on Measured LWR Values. Region (a) is too short, so long frequency roughness cannot be measured. In region (c) short frequency roughness cannot be measured, so accurate values cannot be obtained. Region (b) represents the best compromise.

Hitachi Review Vol. 54 (25), No. 1 17 these two questions in greater detail. Optimum length measurement region To determine the optimum length measurement region (the first question), we measured the dependence of the average LWR value on the measurement region length. The LWR value variation 3-σ values are shown on the vertical axis in Fig. 4. One can see that the 3-σ values increase as the length of the measurement region L increases, but exhibits a tendency toward saturation at L > 2 µm. This same pattern of behavior was confirmed for various types of resists (3), where we found that compared to measurement values where L = several tens of micrometers, the 3-σ values reach 95% when L = 2 µm. Note that the LWR values shown in the graph are averages which actually represent a number of LWR value variations around the average values. The 3-σ value is large when L is small, but decreases as L increases. While there is some variation in the 3-σ values due to statistical error, we confirmed that the statistical error is less than 1% of the average values when L = 2 µm. Based on these findings, we can conclude that 2 µm is a required and sufficient length for the measurement region length. Edge point spacing To address the second question as to how finely the pattern edge points should be spaced, we measured the dependence of LWR values on the edge point spacing, that we refer to here as y. First we derived the LWR value when dividing up the L = 2 µm region into the maximum possible density of edge points, and we assume that this approximates the true LWR. Next, we derived LER values for various edge point spacing values of y, and calculated that how far these values diverged from the true LER (see Fig. 5). The disparities exhibited some statistical variation, but we found that the statistical variation could be held below ± 5% if y < 2 nm. Through analysis of various kinds of resist patterns, we also found that among the observed characteristic roughness frequencies, the shortest frequency was about 2 nm (4). In order to incorporate this roughness component into our measured values, we need to adopt half that value, or 1 nm, as the sample spacing. We thus found that 1 nm is a suitable value for the edge point spacing y. Addressing both questions in sections (1) and (2) we found that measuring LWR should best be done using 2 edge points in a measurement region that is 2 µm in length. We obtained the same results when determining the optimum conditions for measuring LER. A value of 2 µm is also useful and effective for measuring CDs (critical dimensions) (3). In Fig. 4 we plotted CD variation measured when using measurement region length L together with LWR. The CD variations are caused by the roughness. Roughness 3 σ (nm) 16 14 12 1 8 6 4 2 1 CD variation LWR average value 2 µm 1 1, 1, Measurement region length L (nm), : experimental, : simulation Fig. 4 Dependence of LWR Measurement Value (Average) on Measurement Region Length. To measure LWR, a measurement region length of L = 2 µm is necessary and sufficient. With a measurement region of this length, the LWR average value is saturated up to 95% and variation is less than 1%. LWR value difference (%) 1 5 5 y = 1 nm (3 σ = 4%) 2 nm 1 1 1 1 Spacing between edge points y (nm) Fig. 5 Difference between LWR Value Measured in the 2-µm Region and True Value. The difference increases as the spacing between edge points y widens.

CD-SEM Technologies for 65-nm Process Node 18 with a frequency less than L is recognized as LWR, while the component that is greater than L is recognized as CD variation. Consequently, the smaller the L the larger the CD variation tends to become, and this is the CD measurement error. To avoid this effect, CDs must be measured under the condition that LWR is sufficiently saturated (L 2 µm). This must be taken into consideration when measuring CD uniformity on a chip, on a wafer, or between wafers, or when evaluating the measurement repeatability of a tool. This led us to simulate the effects on CD uniformity (CD variation 3-σ values). Fig. 6 shows the relation between true CD uniformity and the observed CD uniformity when the LWR occurring in patterns is 5 nm (measured when L = 2 µm). For the conventional method, we adopted a length of L = 2 nm, which corresponds to a measurement region setting of 15 on a 2, observed image. In both schemes, the effects of errors caused by LWR tend to increase when CD uniformity is good. In the conventional scheme, when the observed value is 6 nm, the difference from the true value (4.4 nm) is about 4%. However, with the new method, the observed value becomes 4.7 nm, which is sufficiently close to the true value. For future processes that require even higher levels of CD uniformity, there will be growing demand for roughness measurement techniques based on the new method. To summarize the points made so far, we have found that LER and CDs can be accurately measured by selecting a long edge segment (2 µm) and dense Observed value (nm) 8 6 4 2 New method (L = 2 nm) Conventional method (L = 2 nm) When there is no error concentration of edge points (1-nm spacing). In order to actually perform measurements using the new approach outlined above, one must observe a single line in a high magnification, and capture 2-µm segments of data while moving the visual field in the vertical direction. However, in actual production processes, there are limitations on throughput. Furthermore, when the visual field is superimposed, exposure to the EB (electron beam) causes ArF (argon fluoride) resist patterns to shrink and other damaging effects. This issue might be addressed by implementing a variable power observation scheme enabling magnification in the vertical direction and in the lateral direction to be set separately. This would permit linewidth measurements in which patterns longer than 2 µm in the length direction could be observed at one time. HIGH PRECISION MEASUREMENT TECHNOLOGIES Repeatability Performance Demanded of Measurement Tools CD-SEM is a tool for measuring the lines and holes and other fine feature patterns formed on semiconductor wafers. As finer device patterns have been made possible by advances in optical lithography, the margin of error in measuring dimensions has become increasingly exacting. The level of precision demanded (measurement repeatability) of measurement tools for the 65-nm node has reached the sub-nanometer order. Reproducibly in this context means total repeatability (3-σtotal) encompassing three components: (1) short-term repeatability (3-σshort) meaning CD value variability when the same pattern is measured continuously multiple times, (2) long-term stability (3-σlong) meaning CD value variability when the same place is measured after a time interval (e.g. one week), and (3) tool difference (3-σtool) meaning CD value variability when the same place is measured by different tools. The relation between these three components is given by 2 4 6 8 σ (σ short ) 2 + (σ long ) 2 + (σ tool ) 2 total =... (1) True CD uniformity (nm) Fig. 6 Measured CD Uniformity for Conventional Method and New Method. Simulated results of effects on CD uniformity are shown. Factors Reducing Short-term Repeatability Here we will highlight some of our efforts to improve short-term repeatability performance, the most basic constituent factor in total repeatability. The

Hitachi Review Vol. 54 (25), No. 1 19 first step toward improved repeatability is to carry out a quantitative analysis to determine what factors are causing repeatability to decline. In a factor analysis evaluation of repeatability, short-term repeatability generally means CD variation (3-σshort) observed when continuously and repeatedly measuring the same line patterns throughout the dynamic sequence consisting of wafer loading imaging measurement wafer unloading. The primary combination of factors causing repeatability to decline in CD-SEM measurement processes are summarized as follows (see Fig. 7): (1) Noise in this context refers to shot noise, an attribute of the principle by which SEM imaging works. Shot noise is cause by secondary electrons given off as a (1) (2) Factors causing repeatability to decline Noise 1st measurement 2nd measurement Visual field misalignment 1st measurement 2nd measurement Superimposed noise is slightly different each time. Imaging fields are slightly different. result EB irradiation. (2) Visual field misalignment affects the potential state on the surface of the sample at the point where the EB impinges on the sample, and occurs with each measurement. When visual field misalignment occurs, a slightly different place is measured and this results in CD value variation. (3) Auto focus variation refers to CD value variation caused by slight differences in calculated focal points when capturing images. This results from the focal point position detection process for obtaining focused images. (4) Brightness correction variation is caused by the accuracy of internal automatic adjustments of detected signal amplification factor required for imaging various materials with different secondary electron emission efficiencies at a fixed brightness level. There are slight differences in the signal amplification factor that is set when capturing images due to potential change on sample surfaces and other factors, and it is thought that these differences might reduce the repeatability. Factor Analysis Results Fig. 8 shows the results of a quantitative analysis of the various factors outlined in the previous section. In our experiments, the effects of charge were minimal and post-etching polysilicon line patterns were used as evaluation samples. Total short-term repeatability performance of the Autofocus variation 1st measurement 2nd measurement.5 nm (3) Focus is slightly different. Dynamic short-term repeatability Noise About.3 nm About.55 nm Brightness correction variation 1st measurement 2nd measurement Visual field misalignment Autofocus variation About.25 nm About.4 nm (4) Image brightness is slightly different. Brightness correction variation Nearly nm Fig. 7 Factors Reducing Short-term Repeatability. Shown are the typical examples of the adverse effects of factors reducing repeatability when measurements are performed two times in the same place. Images are exaggerated to illustrate the effects more clearly. Fig. 8 Analysis of Factors Reducing Repeatability on New CD-SEM. Quantitative evaluation results of short-term dynamic repeatability and various factors reducing repeatability are shown. The values in the figure are 3-σ values.

CD-SEM Technologies for 65-nm Process Node 2 polysilicon lines was about.55 nm, of which.3 nm was contributed by noise,.4 nm was contributed by visual field misalignment,.25 nm was contributed CD variations (nm) +1. 1. Assumed amplification factor variation range 1,875 1,895 1,915 Amplification factor (arbitrary unit) Fig. 9 Evaluation Results of Effects of Brightness Correction Processing. This shows the relation between the signal amplification factor that is automatically set and the CD value in the brightness correction processing. The device parameter value expressing the amplification factor is shown on the horizontal axis as a function of the amount of relative CD value variation on the vertical axis. One can see that for the range of assumed amplification factor variation in the brightness correction processing, the CD value changes very little compared to the variation in the amplification factor. by the autofocus, and nearly nm was contributed by the brightness correction. Here the short-term repeatability of.55 nm and the amount of reduction contributed by each factor were related by the sum of squares, the same as in Eq. (1). Among the four repeatability reducing factors, Fig. 9 shows the analytical results for brightness correction. In the brightness correction process, the amplification factor when detecting signal amplification changes is automatically adjusted inside the tool in order to obtain image data with constant brightness. In the figure, we plotted CD values for imaging the same sample at different amplification factors. One can see that there are no significant variations in CD values despite the changed amplification factors. This confirms that variation in the signal amplification factor during brightness correction processing is not a significant factor in the reduction of repeatability. Among the factors reducing repeatability, the effects of visual field misalignment depend on the object being measured. In other words, for objects with little edge roughness the contribution of field misalignment to CD value variation is minimal, but for objects with substantial edge roughness the contribution of field misalignment to CD value variation is relatively great. Since this factor Start Standard image Roughness-accentuated image Standard image acquisition Measured image acquisition Line width measurement Measured image Roughness-accentuated image Amount of misalignment detected from image processing NO Imaging completed? YES End (a) Image acquisition sequence Set data processing region (b) Detection of visual field misalignment from roughness data Fig. 1 (a) New CD-SEM Repeatability Evaluation Mode Sequence, and (b) Overview of Visual Field Misalignment Detection Using Roughness Data. Minute field misalignment amounts between images are detected using roughness-accentuated images. In a strict sense, repeatability performance can be evaluated when measuring the same place multiple times, because the data processing region is set based on the detected results.

Hitachi Review Vol. 54 (25), No. 1 21 contributing to reduced repeatability is caused by the measurement tool, some kind of countermeasure must be implemented in the equipment. One such countermeasure is illustrated in Fig. 1. Here one image among many captured during the dynamic sequence is regarded as the standard, the field misalignment between that image and the others is calculated, and the data processing region is set for each image based on the calculated results. In a strict sense, repeatability performance can thus be evaluated when the same place is measured multiple times. Detection of the amount of misalignment between images is done using the roughness occurring in imaged line patterns. Specifically, we create images accentuating just the roughness pattern from the SEM images, then use those images to calculate the amount of misalignment through pattern matching. This technique can be used to detect the amount of field misalignment with a high degree of accuracy even for patterns with relatively little roughness. This permits highly accurate assessments of repeatability performance of resist patterns as well as post-etching line patterns. Using repeatability performances of assessment results also eliminates the problem of sample dependent variability. Based on our analysis of factors reducing repeatability and by adopting techniques that reduce those effects, we were able to improve short-term repeatability in samples under test from.55 nm to.39 nm. What is more, the prospects are good that we will be able to hold down long-term stability (3- σ long ) and tool difference (3-σ tool ) to about.4 nm, so we should be able to achieve a total repeatability (3- σ total ) for the system of about.8 nm. We are currently evaluating and testing a wide range of samples for potential application to 65-nm node processes. CONCLUSIONS In this paper we highlighted some of the new technologies developed for application to Hitachi s latest CD-SEM. Hitachi Group continues work on a range of elemental technologies to derive the full performance benefits from the new system to meet the needs of semiconductor processing for the 65-nm node and beyond. In addition to the ongoing assessment and testing of wide ranging samples, we are also studying ways to improve metrology technologies to deal with ever-smaller feature sizes in the future including initiatives: (1) to enhance resolution and measurement repeatability, (2) to cope with resist shrinkage, charge, and other sources of sample damage, and (3) to improve 2D (two-dimensional) and 3D measurement techniques. REFERENCES (1) A. Yamaguchi et al., Characterization of Line-Edge Roughness in Resist Patterns and Estimation of its Effect on Device Performance, Proc. SPIE 538, p. 689 (23). (2) V. Constantoudis, et al., Photo-Resist Line-Edge Roughness Analysis Using Scaling Concepts, Proc. SPIE 538, p. 91 (23). (3) A. Yamaguchi, et al., To be published in Proc. SPIE 5375. (4) A. Yamaguchi, et al., Characterization of Line-Edge Roughness in Resist Patterns by Using Fourier Analysis and Auto-Correlation Function, Jpn. J. Appl. Phys. 42, p. 3763 (23). ABOUT THE AUTHORS Atsuko Yamaguchi Joined Hitachi, Ltd. in 1992, and now works at the ULSI Research Department, the Solution LSI Research Laboratory, the Central Research Laboratory. She is currently engaged in research on advanced lithography processes. Dr. Yamaguchi is a member of the Physical Society of Japan and the Japan Society of Applied Physics (JSAP), and can be reached by e-mail at yamaguch@crl.hitachi.co.jp. Ryo Nakagaki Joined Hitachi, Ltd. in 1995, and now works at the Image Recognition and Inspection System Department, the Production Engineering Research Laboratory. He is currently engaged in the research and development of semiconductor inspection and analysis systems. Mr. Nakagaki is a member of the Institute of Electronics, Information & Communication Engineers and IEEE, and can be reached by e-mail at r-nakaga@perl.hitachi.co.jp. Hiroki Kawada Joined Hitachi, Ltd. in 1986, and now works at the Metrology Systems Design Department, Naka Division of Hitachi High-Technologies Corporation. He is currently engaged in the development and design of EB equipment. Mr. Kawada is a member of the Japan Vacuum Society and the American Vacuum Society, and can be reached by e-mail at kawada-hiroki@naka.hitachi-hitec.com.