Remote plasma etching of silicon nitride and silicon dioxide using NF 3 /O 2 gas mixtures



Similar documents
Chemical dry etching of silicon nitride and silicon dioxide using CF 4 /O 2 /N 2 gas mixtures

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Coating Technology: Evaporation Vs Sputtering

Vacuum Evaporation Recap

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

State of the art in reactive magnetron sputtering

Module 7 Wet and Dry Etching. Class Notes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Dry Etching and Reactive Ion Etching (RIE)

Sensor Integration on a W-CVD Cluster Tool for Real-Time Process Monitoring and Control

III. Wet and Dry Etching

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

Nuclear Structure. particle relative charge relative mass proton +1 1 atomic mass unit neutron 0 1 atomic mass unit electron -1 negligible mass

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Electron Beam and Sputter Deposition Choosing Process Parameters

Copyright by Mark Brandt, Ph.D. 12

The photoionization detector (PID) utilizes ultraviolet

13C NMR Spectroscopy

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

A Remote Plasma Sputter Process for High Rate Web Coating of Low Temperature Plastic Film with High Quality Thin Film Metals and Insulators

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Surface activation of plastics by plasma for adhesion promotion

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Simultaneous data fitting in ARXPS

Secondary Ion Mass Spectrometry

Dry Etch Process Application Note

Plasma Cleaner: Physics of Plasma

Lectures about XRF (X-Ray Fluorescence)

Effects of Plasma Activation on Hydrophilic Bonding of Si and SiO 2

2. Deposition process

Noble Gases. Outline Nobel Gas Elements Radon and Health Chemistry Homework

Physics 441/2: Transmission Electron Microscope

Coating Thickness and Composition Analysis by Micro-EDXRF

Oberflächenbearbeitung durch reaktive Ionenstrahlen

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

The interaction of Cu(100)-Fe surfaces with oxygen studied with photoelectron spectroscopy. I

. Tutorial #3 Building Complex Targets

Graphite Furnace AA, Page 1 DETERMINATION OF METALS IN FOOD SAMPLES BY GRAPHITE FURNACE ATOMIC ABSORPTION SPECTROSCOPY (VERSION 1.

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

Spectroscopy. Biogeochemical Methods OCN 633. Rebecca Briggs

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

Modern approaches to determination of toxic metals in marine environmental objects. Atomic absorption and inductively coupled plasma, advantages and

Barrier Coatings: Conversion and Production Status

Helium-Neon Laser. Figure 1: Diagram of optical and electrical components used in the HeNe laser experiment.

Plasma Etching ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING. Plasma Etching. Dr. Lynn Fuller.

CH3 Stoichiometry. The violent chemical reaction of bromine and phosphorus. P.76

Graphene a material for the future

h e l p s y o u C O N T R O L

Spectrophotometry and the Beer-Lambert Law: An Important Analytical Technique in Chemistry

Lab 7: Residual Gas Analyzers

2 Absorbing Solar Energy

PUMPED Nd:YAG LASER. Last Revision: August 21, 2007

Pesticide Analysis by Mass Spectrometry

Observation of Long Transients in the Electrical Characterization of Thin Film BST Capacitors

2.02 DETERMINATION OF THE FORMULA OF A COMPLEX BY SPECTROPHOTOMETRY

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

Composition of the Atmosphere. Outline Atmospheric Composition Nitrogen and Oxygen Lightning Homework

3. What would you predict for the intensity and binding energy for the 3p orbital for that of sulfur?

3 - Atomic Absorption Spectroscopy

Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping

Introduction to Geiger Counters

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Hello and Welcome to this presentation on LED Basics. In this presentation we will look at a few topics in semiconductor lighting such as light

Chemical vapor deposition of novel carbon materials

Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser

Semiconductor doping. Si solar Cell

Ferromagnetic resonance imaging of Co films using magnetic resonance force microscopy

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Accurate calibration of on-line Time of Flight Mass Spectrometer (TOF-MS) for high molecular weight combustion product analysis

Mask Cleaning Processes and Challenges

ACTIVATION ENERGY: AN ELEMENTARY STUDY IN THE MATRIX ISOTROPIC ETCHER.

TOF FUNDAMENTALS TUTORIAL

1. PECVD in ORGANOSILICON FED PLASMAS

Electron spectroscopy Lecture Kai M. Siegbahn ( ) Nobel Price 1981 High resolution Electron Spectroscopy

OLED display. Ying Cao

Pressure effect on diamond nucleation in a hot-filament CVD system

OLIVÉR BÁNHIDI 1. Introduction

Mass Spectrometry. Overview

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

and LUMINOUS CHEMICAL VAPOR DEPOSITION INTERFACE ENGINEERING HirotsuguYasuda University of Missouri-Columbia Columbia, Missouri, U.S.A.

Trace Gas Exchange Measurements with Standard Infrared Analyzers

6.5 Periodic Variations in Element Properties

GIANT FREQUENCY SHIFT OF INTRAMOLECULAR VIBRATION BAND IN THE RAMAN SPECTRA OF WATER ON THE SILVER SURFACE. M.E. Kompan

Chem 1A Exam 2 Review Problems

Gamma and X-Ray Detection

Molecular Spectroscopy

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2

This paper describes Digital Equipment Corporation Semiconductor Division s

In semiconductor applications, the required mass flows

= atm. 760 mm Hg. = atm. d. 767 torr = 767 mm Hg. = 1.01 atm

Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition

PLASMA TECHNOLOGY OVERVIEW

High performance. Architectural glazings utilise thin. low-emissivity coating. Coating technology

Problem Set 6 UV-Vis Absorption Spectroscopy Express the following absorbances in terms of percent transmittance:

electron does not become part of the compound; one electron goes in but two electrons come out.

Rate Equations and Detailed Balance

Process Diagnostics of Industrial Plasma Systems

PHYSICAL METHODS, INSTRUMENTS AND MEASUREMENTS Vol. III - Surface Characterization - Marie-Geneviève Barthés-Labrousse

How To Analyze Plasma With An Inductively Coupled Plasma Mass Spectrometer

Transcription:

Remote plasma etching of silicon nitride and silicon dioxide using NF 3 /O 2 gas mixtures B. E. E. Kastenmeier, a) P. J. Matsuo, and G. S. Oehrlein b) Department of Physics, The University at Albany, State University of New York, Albany, New York 12222 J. G. Langan Air Products and Chemicals, Inc., Allentown, Pennsylvania 18195 Received 8 May 1997; accepted 2 January 1998 The etching of silicon nitride (Si 3 N 4 ) and silicon dioxide (SiO 2 ) in the afterglow of NF 3 and NF 3 /O 2 microwave discharges has been characterized. The etch rates of both materials increase approximately linearly with the flow of NF 3 due to the increased availability of F atoms. The etch rate of Si 3 N 4 is enhanced significantly upon O 2 injection into the NF 3 discharge for O 2 /NF 3 ratios of 0.3 and higher, whereas the SiO 2 etch rate is less influenced for the same flow ratios. X-ray photoelectron spectroscopy of processed Si 3 N 4 samples shows that the fluorine content of the reactive layer, which forms on the Si 3 N 4 surface during etching, decreases with the flow of O 2, and instead oxidation and nitrogen depletion of the surface occur. The oxidation of the reactive layer follows the same dependence on the flow of O 2 as the etch rate. Argon actinometry and quadrupole mass spectrometry are used to identify reactive species in the etching of both materials. The atomic fluorine density decreases due to dilution as O 2 is added to the discharge. The mass spectrometer did not detect NF x species (x 1 3) at any discharge parameter setting, which indicates the near complete dissociation of NF 3. Nitric oxide NO was detected by mass spectrometry, and the NO density shows the same dependence on O 2 flow as the Si 3 N 4 etch rate and the surface oxidation. Based on this observation, we propose that the etch rate enhancement for Si 3 N 4 is due to the adsorption of the NO on the Si 3 N 4 surface, followed by the formation of N 2 with a N atom from the surface. The O atom can then attach to the same surface site, contributing to the oxidation. 1998 American Vacuum Society. S0734-2101 98 00604-6 I. INTRODUCTION The minimization of feature sizes forces the semiconductor industry to constantly improve fabrication processes. For example, ion induced damage to oxide layers is not acceptable as the gate oxide thickness approaches 50 Å or less. Therefore, mask materials are increasingly stripped downstream from a remote plasma source, avoiding the bombardment of the surface with energetic ions, which is typical for a direct plasma process. Also, reactors for chemical vapor deposition need to be cleaned periodically in order to ensure a constant high quality of the thin films deposited. 1 Currently, plasma enhanced chemical vapor deposition PECVD chambers are often cleaned in situ, which can result in damage to chamber parts because of the presence of both fluorine and ion bombardment on electrodes. Lowpressure chemical vapor deposition LPCVD tubes are cleaned using a wet chemistry, e.g., hydrofluoric acid for the cleaning of LPCVD Si and Si 3 N 4 tubes. A procedure that minimizes tool downtime and chamber damage, avoids the disposal of wet chemicals, and potentially enables a higher level of cleanliness, is remote plasma cleaning. The reactive afterglow of etching gases can be used to strip deposited layers off reactor walls and to clean the a Electronic mail: bk7752@csc.albany.edu b Electronic mail: oehrlein@cnsibm.albany.edu chamber. This method is applicable in CVD reactors for Si, SiO 2,Si 3 N 4, and tungsten compounds. 1 3 The etching characteristics of fluorocarbon gases like CF 4 and C 2 F 6 have been widely studied. These gases are used for reactor cleaning, but since etching often occurs together with the formation of an undesired fluorocarbon polymer layer, they require the addition of O 2. A clean alternative to those gases is nitrogen trifluoride, NF 3, and mixtures of NF 3 with O 2. Discharges of NF 3 are not polymerizing, and thus a good choice for cleaning applications. Nitrogen trifluoride is environmentally preferable to CF 4 and C 2 F 6 because it has a shorter atmospheric lifetime. 4 Another advantage of NF 3 over fluorocarbon gases is that the dissociation of NF 3 in a discharge can approach 100%, resulting in higher F atom concentrations and higher etch yields as compared to fluorocarbon gases. In previous publications 5 7 the etching of Si 3 N 4 and SiO 2 in remote CF 4 discharges with O 2 and N 2 additions has been examined. It was found that the etch rate of Si 3 N 4 is strongly enhanced when both O 2 and N 2 are added to the CF 4 discharge, but the SiO 2 etch rate remains unchanged. A linear correlation between the Si 3 N 4 etch rate and the density of NO was observed, 5,7 and Blain et al. 6 suggested three models for the chemical effect of the NO on the nitride surface, all incorporating enhanced removal of the nitrogen. Surface effects of the NO molecule could also be observed for silicon etching. 8 The thickness of the reactive layer that forms on the 2047 J. Vac. Sci. Technol. A 16 4, Jul/Aug 1998 0734-2101/98/16 4 /2047/10/$15.00 1998 American Vacuum Society 2047

2048 Kastenmeier et al.: Remote plasma etching of Si 3 N 4 and SiO 2 2048 FIG. 1. Schematic of the chemical downstream etcher used in this investigation. The gases are fed into the sapphire applicator, where a microwave discharge is ignited. The species effluent from the plasma travel through tubing of variable length and lining material to the reactive chamber. The sample is placed on the center of an electrostatic chuck. A quadrupole mass spectrometer is mounted on the chamber on top of the sample, and monochromatic ellipsometry is used to determine etch rates. crystalline Si during etching is reduced when NO is present, leading to an enhanced etch rate. This article characterizes the etching of Si 3 N 4 and SiO 2 in the afterglow of NF 3 /O 2 microwave discharges. Etch rates are reported as a function of NF 3 flow and gas composition, and the reported etch rates are explained by the generation rate of active species, determined by optical emission actinometry and mass spectrometry. Furthermore, the etching mechanism of Si 3 N 4 in the presence of fluorine and NO in the gas phase is investigated in more detail by angular resolved x-ray photoelectron spectroscopy XPS. Another article 9 will characterize the etching of polycrystalline Si in NF 3 /O 2 mixtures. FIG. 2. The etch rate of Si 3 N 4, SiO 2, and polycrystalline silicon as a function of the flow of NF 3. The measurements were performed at a constant pressure of 1000 mtorr and with three different microwave power leads. The etch rate roughly increases linearly with the flow due to the increasing availability of reactive species. II. EXPERIMENT Figure 1 shows a schematic of the apparatus used for the experiments. Nitrogen trifluoride and mixtures of NF 3 and O 2 are excited using an Astex 2.45 GHz microwave applicator with a sapphire coupling tube. The pressure for all experiments was 1000 mtorr. The microwave power was varied from 600 to 1400 W, the flow of NF 3 from 50 to 500 sccm. All experiments involving O 2 were conducted at a microwave power level of 1400 W, with a constant flow of NF 3 of either 300 or 500 sccm. A fiberoptic cable for optical emission experiments of the discharge is mounted on the housing of the applicator. The spectrograph used in this investigation is a 30 cm optical multichannel analyzer EG&G PAR Model 1470 which covers the spectrum between 250 and 850 nm. The species produced in the plasma travel through a transport tube to the cylindrical reaction chamber. The length, geometry, and lining material of the transport tube can be varied. Samples of size 1 in. 1 in. are glued on a 5 in. carrier wafer, which is placed on an electrostatic chuck in the reaction chamber. The materials used for this investigation are LPCVD Si 3 N 4 and thermally grown SiO 2. The temperature of the sample is monitored with a fluoroptic probe which contacts the backside of the sample. It was kept constant at 10 C for all experiments. A pressure of 5 Torr of helium was maintained between the surface of the electrostatic chuck and the carrier wafer in order to obtain good heat conduction. Etch rates are measured in situ by monochromatic ellipsometry wavelength 632.8 nm. A quadrupole mass spectrometer Leybold Inficon Transpector is mounted on top of the reaction chamber such that the distance from the orifice to the discharge is the same as that from the sample to the discharge. The ionization region of the mass spectrometer is in line of sight with the sampling orifice and the reaction chamber. The energy of the ionizing electrons is 35 ev. The pressure in the mass spectrometer during an experiment is around 1 10 6 Torr. The reaction chamber is connected to an ultrahigh vacuum UHV wafer handling system which allows the samples to be moved to a multi-technique surface analysis chamber without exposure to air. III. RESULTS A. Etch rates The etch rates of Si 3 N 4 and SiO 2 were measured as a function of the flow of NF 3 see Fig. 2. The pressure was kept constant at 1000 mtorr, and the parameter for the curves in Fig. 2 is the microwave power. The flow range in which it was possible to obtain stable discharges depended on the power. At 600 W, for example, a stable discharge could be obtained only for 50 sccm of NF 3, whereas at 1400 W the flow of NF 3 could be varied across the whole range permitted by the mass flow controller. The etch rates of all three materials increase linearly with the flow of NF 3. Since all curves coincide, microwave power does not influence the etch rate significantly. The SiO 2 etch rates, however, grow faster with the flow of NF 3 than the corresponding Si 3 N 4 etch rates, their slopes being greater by a factor of more than 2. J. Vac. Sci. Technol. A, Vol. 16, No. 4, Jul/Aug 1998

2049 Kastenmeier et al.: Remote plasma etching of Si 3 N 4 and SiO 2 2049 FIG. 3. The etch rate of silicon nitride vs the flow ratio of O 2 and NF 3. The effect of oxygen addition is most pronounced for a high flow of NF 3 500 sccm. FIG. 4. The etch rate of silicon dioxide as a function of the flow ratio O 2 /NF 3.The etch rate decreases as oxygen is added to a flow of 300 sccm of NF 3. If a higher NF 3 flow is used 500 sccm, the etch rate remains on a constant level up to a ratio of 0.4, and then increases slightly upon further increase of the flow ratio. The etch rate of polycrystalline Si is proportional to the density of atomic F if no significant oxidation of the silicon surface occurs. 8,10 Therefore, the F density can be calculated from the Si etch rate and published rate constants. 11 The etch rate of polycrystalline silicon is shown on the bottom panel of Fig. 2. It is also proportional to the NF 3 flow, and higher than the Si 3 N 4 etch rate by a factor of 30. This comparison shows that F atoms, the primary etchants for Si and Si 3 N 4, are available in abundance to sustain the etching of Si 3 N 4, and that the etch rate of Si 3 N 4 is not limited by the density of atomic F. Oxygen addition to a NF 3 discharge strongly enhances the Si 3 N 4 etch rates. Figure 3 shows the etch rates of Si 3 N 4 as a function of the ratio O 2 /NF 3. Pressure and microwave power were kept constant at 1000 mtorr and 1400 W, respectively. The flow of NF 3 was fixed at either 300 or 500 sccm. A small amount of oxygen increases the etch rate by a factor of 2foraNF 3 flow of 500 sccm, and by a factor of 4.3 in the case of 300 sccm of NF 3. As the flow of O 2 is increased further up to O 2 /NF 3 0.3), the etch rates remain constant, and etch rates for 300 and 500 sccm of NF 3 are identical. A significant difference is observed for high flows of oxygen (O 2 /NF 3 0.3). The etch rate for the low flow of NF 3 remains on the same low level, whereas the etch rate for the high NF 3 flow increases continuously until it saturates near O 2 /NF 3 1. Oxygen addition to a discharge of 300 or 500 sccm of NF 3 does not affect the silicon dioxide etch rates as strongly as it does the silicon nitride etch rates. Figure 4 shows the etch rates of SiO 2 for ratios O 2 /NF 3 0 to 1. In the case of 500 sccm of NF 3, the etch rate remains almost constant up to ratios O 2 /NF 3 0.5, and then increases slightly. If oxygen is added to a lower flow of NF 3 300 sccm, the etch rate of SiO 2 actually decreases. For certain unstable plasma conditions, etch rates of Si 3 N 4 and SiO 2 were found to be abnormally high. These conditions often occurred during the tuning of the discharge. After the discharge was tuned to a stable state with low reflected power 10 W, the etch rates assumed regular values. Mass spectrometry measurements which were performed with an untuned discharge 200 W reflected power, showed a higher NO signal than a tuned discharge and the presence of NF x (x 1,2) species in the reaction chamber. It is likely that the high NO density is responsible for the fast Si 3 N 4 etch rate. The SiO 2 etch rate is not influenced by the NO density. It is possible that NF x species enhance the etching of SiO 2 under these conditions. B. Optical emission and actinometry measurements We performed actinometry measurements to monitor the production of atomic fluorine and oxygen in the discharge as a function of the flow O 2 in NF 3. Actinometry with argon as a tracer gas has been widely used to determine the relative F atom density in CF 4 /O 2 and SF 6 /O 2 systems. 12 15 The method has been validated by Donnelly et al. 16 for the afterglow of CF 4 /O 2 and NF 3 /Ar systems. The ground state density of a species X in the discharge, n X, is proportional to the density of Ar and the ratio of the emission intensities 17 n X n Ar * I X /I Ar, 1 where the Ar density is determined from the total gas density n tot and the gas flows Q Ar n Ar n tot. 2 Q NF3 Q O2 Q Ar Typically, the intensity of the Ar 4s 1/2 0 4p 1/2 emission at 750.4 nm, whose upper level has an excitation energy of 13.48 ev, is set in relation with the F 3s 2 P 3p 2 P 0 emission at 703.7 nm 14.76 ev, ortheo3s 3 S 0 3p 3 Ptriplet at 844.6 nm 10.99 ev. In our experiments, however, the Ar 750.4 nm line overlapped with other peaks, and the numerical determination of the intensity is very likely to have a systematic error. In order to eliminate this error, we included the Ar 763.5 nm, 4s 1 1/2 0 JVST A - Vacuum, Surfaces, and Films

2050 Kastenmeier et al.: Remote plasma etching of Si 3 N 4 and SiO 2 2050 FIG. 5. The relative changes of the O atom concentration as determined by Ar actinometry. The flow of NF 3 was kept constant at 300 and 500 sccm, respectively. As expected, the density of O atoms in the plasma region grows with the flow of oxygen. The production of atomic oxygen is higher by a factor of 1.9 for the low flow of NF 3. 4p 1 1/2 ) and Ar 811.5 nm, 4s 1 1/2 0 4p 2 1/2 ) lines into our analysis. The energies of the upper levels of these emissions, 13.17 and 13.08 ev, are very close to that of the Ar 750.4 nm line. The determination of the emission intensities of these two emissions is straightforward, since no overlap with other emission lines occurs. In all our experiments, we find that the F/Ar 763.5 nm and F/Ar 811.5 nm ratios have the exact same dependency on the O 2 flow. We decided to use the Ar emission at 811.5 nm as actinometer for all graphs shown here. As discussed recently by Petrovic et al. 18 and by Malyshev and Donnelly, 19 cascading from metastable states into the 4p 2 1/2 level may contribute significantly to the Ar 811.5 nm emission, whereas the contribution to the Ar 750.5 nm emission is negligible. All changes in the plasma affecting the Ar metastable density will also affect the emission intensity of the Ar 811.5 nm line. This effect is not taken into account in our analysis. However, we estimate the error introduced by using the Ar 811.5 nm line to be 15% or less. This estimate is based on a comparison of Ar 750.4 and 811.5 nm emission intensities from a CF 4 /O 2 /Ar microwave plasma ignited in the same applicator under similar conditions. The Ar emission lines from this gas mixture are free of overlap. The normalized Ar 750.4 and 811.5 nm emission intensities, as a function of O 2 flow, vary by a maximum of 15% in the CF 4 /O 2 /Ar system. This is reflected by the error bars in Fig. 5. Furthermore, the Ar 811.5 nm emission deviates from the dilution curve no more than 10% and in a nonsystematic way, which supports the notion that the metastable contribution does not depend on the O 2 flow. Walkup et al. 20 have compared Ar actinometry of O in CF 4 /O 2 discharges with two photon laser induced fluorescence measurements. They found that the O 3s 3 S 0 3p 3 P triplet at 844.6 nm yields more reliable results for the ground state O atom density than the 3s 5 S 0 3p 5 P triplet at 777 nm, since dissociative recombination of O 2 molecules can significantly contribute to the population of the 3p 5 P level and the emission at 777 nm. In Fig. 5, the relative change of the O atom concentration FIG. 6. The relative changes of the F atom concentration as determined by Ar actinometry. The same experimental parameters were used as in Fig. 5. The dashed lines indicate the calculated density of F under the assumption that dilution is the only effect of O 2 addition to NF 3. At both flows of NF 3, the production of F atoms is not enhanced significantly. The F concentration decreases due to dilution. This is in contrast to CF 4 /O 2 microwave plasmas, and also to NF 3 /O 2 low density plasmas. in the discharge region is shown as a function of the ratio O 2 /NF 3. As one expects, the density of O atoms increases with the flow of O 2. However, in the case of 300 sccm of NF 3, the O atom concentration grows faster than in the case of 500 sccm of NF 3. The initial slopes of the curves differ by a factor of 1.9. At a fixed flow of 300 sccm of O 2 designated by crosses in Fig. 5, the density of ground state oxygen atoms is higher by a factor of 2.9 for the low flow of NF 3. Figure 6 shows the behavior of the F atom concentration in the plasma as a function of oxygen addition. The dashed lines show the predicted F density under the assumption that dilution of gas phase species is the only effect on the production of F as O 2 is added to the discharge. The concentration of F decreases with the addition of O 2. However, Fig. 6 indicates that the decrease of the F density for the case of 500 sccm of NF 3 is less than predicted by the dilution effect by a margin significantly greater than the error. This indicates that the production rate of F atoms is slightly increased by the presence of oxygen in the discharge, but the total density decreases due to dilution. In order to gain information about the chemical effects of the O 2 in the discharge, relative changes of the emission intensities from N 2 and NF were determined. Oxygen atoms can be expected to quickly oxidize the lower fluorides of NF 3, 21,22 leading to a reduced density of NF x (x 1,2) in the plasma. The production of N 2 is likely to decrease in favor of the generation of oxides of nitrogen. 22 The b 1 X 3 system of NF at 528.8 nm and the C 3 u B 3 g system of N 2 at 357.7 nm could be detected. The emission intensity of both N 2 and NF in the plasma decreases more strongly than just due to the dilution effect see Fig. 7, and the emission from NF vanishes at O 2 /NF 3 2. This indicates the presence of chemical reactions of those species with O or O 2. Optical emission from other species, e.g., F 2, N, NO, or NO 2, could not be identified in the present work. This is consistent with the observation of other researchers for NF 3 23 J. Vac. Sci. Technol. A, Vol. 16, No. 4, Jul/Aug 1998

2051 Kastenmeier et al.: Remote plasma etching of Si 3 N 4 and SiO 2 2051 FIG. 7. The relative changes of the N 2 and NF emission intensities from the NF 3 /O 2 discharge. The same experimental parameters were used as in Fig. 5. Again, the dashed line indicates the dilution by O 2. At both flows of NF 3, the density of both species is reduced more than just by dilution alone. and NF 3 /Ar 16 discharges. Atomic nitrogen and F 2 are suppressed by fast reactions with NF x species 16 N NF x NF NF x 1, F 2 NF x 1 NF x F, 4 therefore their emission intensity is below the detection limit of our spectrograph. So far, no explanation for the absence of emission from NO can be given. C. Mass spectrometry measurements 3 FIG. 8. Typical mass spectra sampled from the downstream reactive chamber. For the top panel pure NF 3 is used. The top panel shows analog spectra for no discharge and for the microwave discharge ignited, together with the difference spectrum. The NF x (x 1,2,3) peaks disappear completely as the discharge is ignited. Therefore they appear as negative peaks in the difference spectrum. N 2,F 2, and F radicals are the main products of the NF 3 discharge. The bottom panel contains the difference spectrum of a NF 3 /O 2 mixture showing NO production. We previously applied mass spectrometry to determine the relative changes in the concentration of reactive species in chemical dry etching. 7 As in our previous work, we measured the intensities of the species with and without a discharge ignited. The data is then plotted as the difference between the plasma-on and the plasma-off state, which represents the production and dissociation of species in the plasma better than the approach where the plasma-on state only is measured. An analog spectrum of the afterglow of a microwave discharge in pure NF 3 is shown in panel a of Fig. 8, together with the spectrum obtained for no discharge ignited. This spectrum shows the NF 3 peak at mass number 71 and the cracking products NF and NF 2. Background signals of O 2 and N 2 are also visible. These peaks disappear completely, as a discharge is ignited with 1400 W of microwave power. Instead, F, F 2, and N 2 are produced. SiF 3 at 85 amu appears as a cracking product of SiF 4, the product of etching reactions of quartz windows in the reactor. The difference spectrum I PlasmaOn I PlasmaOff is shown in panel b. The dissociation of species, like NF x, is represented as a negative peak. Generation of species, like N 2,F 2, and the F radical leads to positive peaks in the difference spectrum. Panel c of Fig. 8 shows the difference spectrum obtained from a NF 3 /O 2 mixture. Nitric oxide is produced in the discharge, and oxygen is visible as a negative peak. Figure 9 shows the normalized density of F 2 and the intensity of the peak at 19 amu as a function of O 2 addition to 500 sccm of NF 3. The density of F 2 decreases with increasing flow of O 2. The dashed line in Fig. 9 is the dilution curve. The peak at 19 amu is due to atomic fluorine and electron impact dissociation of F 2 in the ionization region of the mass spectrometer. The data shown are not corrected for this effect, since an estimate for the F 2 contribution was not available. It is known from previous work 7 that the etch rate of Si 3 N 4 is proportional to the density of NO in the reaction chamber. Figure 10 shows the normalized NO density down- FIG. 9. The intensity difference between the plasma-on and plasma-off values, I PlasmaOn I PlasmaOff, for the 19 F and the 38 F 2 peaks. Both difference values decrease as the flow of O 2 in NF 3 is increased from a ratio O 2 /NF 3 0 too 2 /NF 3 2. JVST A - Vacuum, Surfaces, and Films

2052 Kastenmeier et al.: Remote plasma etching of Si 3 N 4 and SiO 2 2052 FIG. 10. I PlasmaOn I PlasmaOff for the 30 NO peak at two different flows of NF 3. Both curves have been normalized with their common maximum value, which occurred for the high NF 3 flow. The two distinctively different Si 3 N 4 etch rate curves from Fig. 3 are well mirrored in the 30 NO peak behavior. stream from the plasma for 300 and 500 sccm of NF 3. The two curves show significantly different behavior, and both show a strong similarity to the Si 3 N 4 etch rate curves for the same parameters from Fig. 3. In the case of 500 sccm of NF 3, the NO density remains on a constant level for ratios O 2 /NF 3 0.5, and then increases strongly with the flow of O 2. At 300 sccm of NF 3, the NO density generally is significantly lower than at the high flow of NF 3. It remains on a constant level up to O 2 /NF 3 0.6, and then grows steadily. The normalized change in the concentration of O 2 is shown in Fig. 11. In previous work on the chemical downstream etching with CF 4 /O 2 /N 2 gas mixtures, a significant amount of oxygen atoms could be detected in the reaction chamber by the mass spectrometer. The common existence of O and NO leads to the appearance of the yellow-greenish air afterglow 24 26 in the CF 4 /O 2 /N 2 system. No atomic oxygen can be detected downstream from a NF 3 /O 2 discharge. The difference of the plasma-on and plasma-off intensities of the peak at 16 amu follows that of the parent molecule O 2. FIG. 11. I PlasmaOn I PlasmaOff for the 32 O 2 peak. O 2 is destroyed in the discharge, thus the plasma-off intensity is higher than the plasma-on intensity, and the difference is in the negative. The 16 O value follows the 32 O 2 value, indicating that the major contribution to the 16 O intensity comes from O 2 split up in the ionization region of the mass spectrometer, not from O radicals produced in the discharge. The reaction chamber is free of atomic O. FIG. 12. The corrected peak intensity ratio of the F(1s) and the Si(2p) photoelectron emissions. The electron emission angle was 75 with respect to the surface normal. The fluorine content in the reactive layer initially decreases with the flow of O 2, then remains on a constant level. Therefore, one is led to the conclusion that the atomic oxygen signal is exclusively due to O 2 split up in the ionization chamber of the mass spectrometer, and that the afterglow of anf 3 /O 2 discharge essentially contains no atomic oxygen. D. Surface analysis results X-ray photoelectron spectra were obtained from Si 3 N 4 and SiO 2 samples immediately after processing. The electron emission angle for the element ratio measurements shown here was 75 with respect to the surface normal. The effective electron escape depth under this angle, assuming an inelastic mean free path of 20 Å, is only 5 Å. Thus, the XPS measurements are extremely surface sensitive. The areas of the different elemental peaks were corrected for different photoionization cross sections and the detector response. Figure 12 shows the fluorination of the Si 3 N 4 surface after processing under conditions for which we have found the most pronounced effects of oxygen addition on the etch rate. These conditions are a flow of 500 sccm of NF 3, a microwave power of 1400 W, and a chamber pressure of 1000 mtorr. The fluorination of the surface decreases for O 2 /NF 3 ratios up to 0.5, then remains on a constant level. The oxidation of the surface layer and the nitrogen content are shown in Fig. 13 as the corrected ratio of O(1s)/Si(2p) and N(1s)/Si(2p) emission intensities. The surface oxidation initially is on a fairly constant level for O 2 /NF 3 ratios up to 0.5, then increases by a factor of 4 as O 2 /NF 3 approaches 1. The surface oxidation does not increase further, as the flow of O 2 in NF 3 is increased to a ratio of 2. The amount of surface oxidation is very similar to the Si 3 N 4 etch rates and the NO concentration in the reaction chamber for the same parameters. The surface is depleted of N in the same way it is oxidized. A close correlation between surface oxidation and nitrogen depletion is shown by these data. The stoichiometry of the reactive layer can be deduced from Fig. 14. There, the corrected intensity ratios of emissions from O(1s) and F(1s) are shown. For all gas compositions, F atoms are the dominant foreign species in the reactive layer. At low flows of O 2, there are approximately ten J. Vac. Sci. Technol. A, Vol. 16, No. 4, Jul/Aug 1998

2053 Kastenmeier et al.: Remote plasma etching of Si 3 N 4 and SiO 2 2053 FIG. 13. The corrected peak intensity ratios of the O(1s) and the N(1s) over the Si(2p) photoelectron emissions. The electron emission angle was 75 with respect to the surface normal. The surface is depleted of N atoms in the same way as it is oxidized. Both the depletion of N and the surface oxidation follow the behavior of the 30 NO mass spectrometer signal for the same experimental parameters very well. times more F atoms in the surface than there are O atoms. However, as the flow of O 2 is increased, the surface oxidation increases, and the corrected ratio of O and F emission intensities increases to 0.5. The thickness of the reactive layer which forms on the bulk silicon nitride during the etching has been determined by angular resolved XPS ARXPS. The conventional method 27 to determine the overlayer thickness on a silicon substrate cannot be applied to reactive layers on Si 3 N 4 and SiO 2 films. The conventional method is based on the chemical shift that Si(2p) core electrons suffer as Si Si bonds are successively replaced with bonds with more electronegative atoms. The chemical shift of the Si(2p) emission from the overlayer on a crystalline or polycrystalline silicon substrate is high enough to be resolved by XPS from the unshifted emission from the bulk. However, for Si 3 N 4 the binding energy of a 2p electron from a bulk Si atom is 104 ev, and the binding energies of Si(2p) electrons from the reacted layer FIG. 14. The corrected peak intensity ratio of the O(1s) and the F(1s) photoelectron emissions. The electron emission angle was 75 with respect to the surface normal. The stoichiometry of the reactive layer surface can be deduced from this graph. Fluorine atoms are the dominant foreign species ratios 1. The oxidation increases strongly as the flow ratio of O 2 in NF 3 is increased beyond 0.4. Thus, high etch rates in the case of Si 3 N 4 etching can be achieved even with higher surface oxidation. FIG. 15. The penetration depth of F and O atoms into Si 3 N 4, and of F atoms into SiO 2. are too close to this value to be resolved by the XPS. In the case of SiO 2, the contribution to the Si(2p) emission from oxidized Si atoms from the bulk and from Si atoms in the reactive layer cannot be resolved, since each fluorine oxygen substitution shifts the energy level of the Si(2p) core electrons by merely 0.2 0.3 ev. 28 In ARXPS, the emission angle of electrons with respect to the surface normal,, is changed by rotating the sample. The depth of origin of the photoelectrons is thereby changed from the very surface at 75 to the order of the inelastic mean free path at 0. The values for the inelastic mean free paths of electrons originating from F(1s) oro(1s) are obtained from Briggs and Seah. 29 The intensity as a function of the electron escape angle, I, of the F(1s) and O(1s)emissions was measured at six angles from 75 to 0 with respect to the surface normal. The peak intensities were then corrected for instrumental effects as a function of the angle. Correction factors for the different angles were obtained from the emission intensities of a homogeneous semi-infinite SiO 2 film. The measured and corrected I was then least-square fitted with the output of a simulation, which calculated I for different singleparametric model assumptions about the decay of I(x), the foreign species density in the reactive layer as a function of the depth x in the sample. The three models used for this investigation are: a one-step, b exponential, and c linear decrease of the foreign species density with increasing d. For a given model assumption for I(x), I( )is given by I 1 cos I x e x/ cos dx. 5 0 Models a and b yield results for the penetration depth of the foreign species with a deviation of 0.1 nm or less. The linear decay model gives results consistently higher by a factor of 2. We have therefore chosen to report the results obtained with the exponential decay model. In Fig. 15, the penetration depths of F and O atoms into Si 3 N 4 are shown as a function of the ratio of O 2 in NF 3. Fluorine penetrates the silicon nitride about 0.4 nm deep, with only a 25% variation around this value as the gas composition is varied. Oxygen atoms penetrate the Si 3 N 4 much less than F. The penetration JVST A - Vacuum, Surfaces, and Films

2054 Kastenmeier et al.: Remote plasma etching of Si 3 N 4 and SiO 2 2054 depth for O 2 /NF 3 ratios up to 1 is between 0.1 and 0.2 nm. For higher flows of O 2, the penetration depth of O atoms increases substantially. We measure a penetration depth of 0.7 nm at O 2 /NF 3 2. Also included in Fig. 15 is the penetration depth of F atoms into SiO 2. Fluorine penetrates SiO 2 about 50% deeper than it does Si 3 N 4. IV. DISCUSSION A. Gas phase effects of O 2 Nitrogen trifluoride is easily dissociated by electron impact. The threshold energy for the first step, dissociative electron attachment e NF 3 NF 2 F 6 is near zero, since the electron affinity of the F atom 3.6 ev is higher than the NF 2 F bond strength 2.5 ev. 30,31 For comparison, the energy threshold for the first dissociation step of CF 4, electron impact dissociation, is 12.6 ev. 32 The products of the dissociation steps are NF x daughter species (x 1,2) and atomic F. Also, the energies required to split NF x into NF x 1 and F are lower than those for the CF x radicals. Therefore, the dissociation of NF 3 typically is higher than that of CF 4 in comparable situations. Dissociation of NF 3 for all experiments was complete within the error boundaries of the mass spectrometer 100.0% 0.2%. Typical dissociation of CF 4 in comparable situations is 40% 60%. In the absence of O 2, the NF x daughter species recombine according to 22,23 NF NF N 2 2F, NF NF 2 N 2 F 2 F. 8 These reactions lead to the high amount of N 2 detected in the discharge by optical emission spectroscopy see Fig. 7, and N 2 and F 2 in the afterglow detected by mass spectrometry see Fig. 9 for F 2 ). Note that no F 2 emission from the plasma was detected and therefore a large fraction of the F 2 detected by the mass spectrometer probably originates from recombination reactions in the region immediately after the discharge. The mechanism of recombination of the NF x is different in the presence of O. Oxygen atoms react quickly with NF x species. Atomic oxygen reacts with NF 2 and NF 22,33 according to O NF 2 NF OF, 9 O NF NO F, 10 which leads to a reduced NF density. OF is very reactive and is lost in the discharge immediately to O 2 and F 22 2OF 2F O 2, 7 11 O OF O 2 F. 12 Therefore, in the presence of O in the discharge, the occurrence of the recombination reactions Eqs. 7 and 8 is reduced, the density of their products, N 2 and F 2, is smaller, and production of atomic fluorine is enhanced by O atoms. These chemical processes for the dissociation and recombination of the NF x in the presence of O atoms are mirrored in the actinometry and mass spectrometry results reported above. The density of NF and N 2 in the discharge decreases quickly as O 2 is admitted to the NF 3 discharge. Since the decrease is faster than the dilution, oxidation reactions like Eqs. 9 and 10 are likely to occur at a significant rate in the plasma. Mass spectrometric measurements downstream from the discharge are in agreement with this conclusion. The N 2 signal mass number 28 decreases in the same fashion as the N 2 density in the discharge. No nitrogen fluorides were detected downstream for any gas mixture. For the present experiments, the F atom concentration is not increased as O 2 is added to a constant flow of NF 3. This is demonstrated by the results of the Ar actinometry measurements see Fig. 6, and is in good agreement with modeling of the NF 3 /O 2 afterglow. 34 Other researchers have reported an increase of the F atom concentration through O 2 addition to a low density discharge in NF 3. 22 This discrepancy can be explained with the dilution effect and the high degree of dissociation of the NF 3 for all gas compositions in the high-density microwave discharge used in the experiments reported here. In low density discharges, the degree of dissociation of NF 3 typically varies between 10% and 80%, 22,35 and the dissociation and SiO 2 etch rates increase rapidly with the power density. 36 In discharges with a lower degree of dissociation, oxidation reactions of O with NF x species like Eqs. 9 and 10 add measurably to the concentration of F. In a high-density discharge at relatively high microwave power, NF 3 is already dissociated to a much higher degree. In the present experiments, the power density does not affect dissociation or etch rates see Fig. 2. The contribution of oxidation reactions of O atoms with NF x species to the F and F 2 concentrations is not as significant as the dilution by the O 2 flow. However, F in the discharge is slightly higher than one would assume if dilution is the only effect of O 2 on the F concentration. It has been shown before 7 for CF 4 /O 2 /N 2 gas mixtures, that the NO density is of significant importance for the etching of Si 3 N 4 in fluorine containing plasmas. In the afterglow of CF 4 /O 2 /N 2 discharges, the NO density initially increases with the addition of O 2, and subsequently remains at a rather constant level. In the afterglow of NF 3 /O 2 discharges, two distinctively different regimes of NO production can be observed see Fig. 10. In the first regime, at ratios of O 2 /NF 3 lesser than or equal to 0.25, the NO density is constant. For higher flows of O 2, a rapid increase of NO is observed. The transition between the two regimes occurs earlier for high flows of NF 3.AtO 2 /NF 3 2, the growth of NO is slowed down, and the density is approximately 20 times higher as compared to the constant value of the first regime. NO is known to be produced through several reaction pathways in discharges containing nitrogen and oxygen. They are mainly N and O atom recombination N O M NO* M 13 J. Vac. Sci. Technol. A, Vol. 16, No. 4, Jul/Aug 1998

2055 Kastenmeier et al.: Remote plasma etching of Si 3 N 4 and SiO 2 2055 FIG. 16. The etch rates of Si 3 N 4 for different flows of NF 3 vs the concentration of NO in the reaction chamber. The NO concentration is determined by mass spectrometry see Fig. 10 and normalized to the maximum. Also included in the graph is the normalized intensity ratio of the O(1s) and Si(2p) photoemissions see Fig. 13 as a function of the NO concentration. Both etch rates and surface oxidation are a linear function of the NO density. and reactions of nitrogen ions with O 2 N O 2 O NO 2.3 ev about 10%, NO O 6.7 ev about 86%, 14 15 NO * O 0.3 ev about 4%. 16 For the current experiments, optical emission measurements do not show measurable amounts of N or N in the discharge region. However, in NF 3 /O 2 discharges NF is expected to contribute significantly to the production of NO through a reaction like Eq. 10. The emission from NF is strongly reduced as O 2 is added to the NF 3 discharge see Fig. 7. AtO 2 /NF 3 2, no emission from NF can be detected anymore. At the same O 2 /NF 3 ratio, the growth of NO has significantly slowed down. Therefore, a large fraction of the NO is probably generated from NF and O atoms according to Eq. 10. B. Surface processes and mechanism of etching It has been shown before 7 for CF 4 /O 2 /N 2 gas mixtures that the etch rate of Si 3 N 4 is proportional to the density of NO in the reaction chamber, provided that the etching is not limited by the amount of F available to form volatile SiF 4. Figure 16 shows the etch rates of Si 3 N 4 for different flows of NF 3 as a function of NO density in the reaction chamber. The etch rates are the same as shown in Fig. 3, and the NO concentration data are taken from Fig. 10. The etch rates and NO density data have been normalized with the respective maximum values common to both flows of NF 3. The curves for the different flows of NF 3 coincide. For etching in the afterglow of NF 3 /O 2 discharges, the Si 3 N 4 etch rate is proportional to the density of NO. This indicates that the NO concentration is the etch rate limiting factor for the etching of Si 3 N 4 in the presence of F. If no NO is available, the F density determines the Si 3 N 4 etch rates see Fig. 2. Figure 16 also shows the normalized ratio of the O(1s) over the Si(2 p) photoemission intensity as a function of the density of NO. The amount of surface oxidation is proportional to the NO density in the reaction chamber. Oxygen atoms, which potentially could contribute to the oxidation of the Si 3 N 4 surface, are not detected in appreciable amounts in the afterglow. These experimental results, the linearity of both the etch rates and the surface oxidation of Si 3 N 4, clarify the mechanism by which the NO molecule enhances the etching of Si 3 N 4. Several mechanisms have been proposed before. 6,7 They all involve the formation of a bond between the NO, which contains an unpaired electron localized mainly on the N and therefore is very reactive, and an N atom from the surface. A volatile species is produced in the subsequent reaction steps. Therefore, the removal rate of N atoms from the surface is enhanced by the NO molecule. The close correlation between the NO density and the removal of N atoms from the surface is demonstrated by the results of the XPS measurements see Fig. 13. The nitrogen content of the Si 3 N 4 surface is inversely proportional to the NO density. The proposed mechanisms are NO g N s N 2 g O s, 17 NO g N s N 2 O g, 18 2NO g 2N s 2N 2 g O 2 g. 19 The mechanism from Eq. 17 is in best agreement with our results. It is first order in NO, and every N removed from the surface is replaced with an O atom. This is in agreement with the linear dependencies of etch rates and surface oxidation on the NO density see Fig. 16. The mechanism from Eq. 18 cannot account for the increased amount of surface oxidation, especially since O atoms are absent from the gas phase. The third mechanism, shown in Eq. 19, is second order in NO, and also does not explain the surface oxidation. Figure 17 illustrates the reaction of NO with the Si 3 N 4 surface. The unpaired electron on the NO creates a bond with a N surface atom. By electron transfer from the O, more bonds between the N atoms can be formed. The N O bond becomes weaker in the same way the N N bond becomes stronger. In a final step, a N 2 molecule desorbs from the surface, and the O atom occupies the site formerly occupied by the N 2. V. CONCLUSIONS The etch rates of Si 3 N 4 are significantly enhanced by the addition of O 2 toanf 3 discharge, whereas the SiO 2 etch rates are much less influenced or even decrease. This behavior is explained by the production of etchants for both materials. The density of atomic fluorine in the discharge is reduced by the addition of O 2 primarily because of dilution, even though reactions of O atoms with NF x slightly contribute to the production of F. The dilution effect overwhelms the effect of additional F production, since the dissociation of NF 3 is nearly complete and the production of F is very efficient for all gas mixtures. Therefore, the etch rates of SiO 2, whose primary etchant is atomic fluorine, decrease JVST A - Vacuum, Surfaces, and Films

2056 Kastenmeier et al.: Remote plasma etching of Si 3 N 4 and SiO 2 2056 ideas and technical assistance. The authors would like to acknowledge Sandia National Laboratories, Air Products and Chemicals, Leybold Inficon, and the New York State Science and Technology Foundation for their support of this study. FIG. 17. The proposed reaction scheme of the NO on the Si 3 N 4 surface. The reaction involves the formation of a bond between a N atom from the surface and the N of the NO. In subsequent steps, electrons are transferred from the O to the N N bond. Finally, the O exchanges the position on the surface site with the N 2, which desorbs as an etch product. with the addition of O 2. The etch rates of Si 3 N 4 follow the concentration of NO in the etch chamber if F atoms are available in abundance. This is in agreement with observations for the CF 4 /O 2 /N 2 etching of Si 3 N 4. 7 Strong suggestions on the mechanism by which NO enhances the etch rate of Si 3 N 4 are provided by the surface and gas phase data. The Si 3 N 4 etch rates are proportional to the density of NO. The depletion of N and the surface oxidation also follow the concentration of NO. Oxygen atoms, which also could account for the surface oxidation, are not present in the afterglow of the NF 3 /O 2 discharges. This experimental evidence points to the mechanism in which a N atom from the surface is replaced with the O atom from NO, and N 2 desorbs as an etch product. Currently we are trying to gain more information about the etching mechanism by injecting NO directly into the reaction chamber downstream from a fluorine producing discharge. The injection of NO causes the same changes to the Si 3 N 4 etch rate and the surface stoichiometry as described above. The etch rate of Si 3 N 4 increases strongly as NO is added. Photoelectron spectra of processed Si 3 N 4 samples reveal a lower nitrogen content and increased surface oxidation of samples processed with NO. ACKNOWLEDGMENTS The authors thank Matt Blain, Louis Frees, and Robert Ellefson for stimulating discussions. Marc Schaepkens, Theo Standaert, and Jawid Mirza are thanked for their helpful 1 B. A. Huling, G. M. Engle, C. A. Schneider, R. J. Gibson, and R. G. Ridgeway, Solid State Technol. 37, 73 1994. 2 G. Bruno, P. Capezzuto, G. Cicala, and P. Manodoro, J. Vac. Sci. Technol. A 12, 690 1994. 3 T. Yasuda and G. Lucovsky, J. Vac. Sci. Technol. A 11, 2496 1993. 4 T. Kawane, Semicond. Int. 3, 66 1997. 5 S. Lakeman, Semicond. Int. 18, 127 1995. This article contains in summary form results from Ref. 7. 6 M. G. Blain, T. L. Meisenheimer, and J. E. Stevens, J. Vac. Sci. Technol. A 14, 2151 1996. 7 B. E. E. Kastenmeier, P. J. Matsuo, J. J. Beulens, and G. S. Oehrlein, J. Vac. Sci. Technol. A 14, 2802 1996. 8 P. J. Matsuo, B. E. E. Kastenmeier, J. J. Beulens, and G. S. Oehrlein, J. Vac. Sci. Technol. A 15, 1801 1997. 9 P. J. Matsuo, B. E. E. Kastenmeier, G. S. Oehrlein, and J. G. Langan, J. Vac. Sci. Technol. A to be published. 10 C. J. Mogab, A. C. Adams, and D. L. Flamm, J. Appl. Phys. 49, 3796 1978. 11 D. L. Flamm, V. M. Donnelly, and J. A. Mucha, J. Appl. Phys. 52, 3633 1981. 12 J. W. Coburn and M. Chen, J. Vac. Sci. Technol. 18, 353 1981. 13 J. W. Coburn and M. Chen, J. Appl. Phys. 51, 3134 1980. 14 R. d Agostino, F. Cramarossa, S. DeBenedictis, and G. Ferraro, J. Appl. Phys. 52, 1259 1981. 15 R. d Agostino, F. Cramarossa, and S. DeBenedictis, Plasma Chem. Plasma Process. 2, 213 1982. 16 V. M. Donnelly, D. L. Flamm, W. C. Dautremont-Smith, and D. J. Werder, J. Appl. Phys. 55, 242 1984. 17 M. A. Lieberman and A. J. Lichtenberg, Principles of Plasma Discharges and Materials Processing Wiley, New York, 1994. 18 Z. L. Petrovic, S. Bzenic, J. Jovanovic, and S. Djurovic, J. Phys. D 28, 2287 1995. 19 M. V. Malyshev and V. M. Donnelly, J. Vac. Sci. Technol. A 15, 550 1997. 20 R. E. Walkup, K. L. Saenger, and G. S. Selwyn, J. Chem. Phys. 84, 2668 1986. 21 T. Honda and W. W. Brandt, J. Electrochem. Soc. 131, 2667 1984. 22 K. J. Nordheden and J. T. Verdeyen, J. Electrochem. Soc. 133, 2168 1986. 23 M. Konuma and E. Bauser, J. Appl. Phys. 74, 62 1993. 24 F. Kaufman, Prog. Reaction Kin. 1, 1 1961. 25 E. A. Ogryzlo and H. I. Schiff, Can. J. Chem. 37, 1690 1959. 26 F. Kaufman and J. R. Kelso, J. Chem. Phys. 32, 301 1960. 27 G. S. Oehrlein, G. M. W. Kroesen, and J. L. Lindstroem, J. Vac. Sci. Technol. A 10, 3092 1992. 28 S. W. Robey and G. S. Oehrlein, Surface Sci. 210, 429 1989. 29 D. Briggs and M. P. Seah, Practical Surf. Anal. 1990. 30 R. M. Reese and V. H. Dibeler, J. Chem. Phys. 24, 1175 1956. 31 J. C. J. Thynne, J. Phys. Chem. 63, 1586 1969. 32 L. G. Christophorou, A. J. K. Olthoff, and M. V. V. S. Rao, J. Phys. Chem. Ref. Data 25, 1341 1996. 33 M. A. A. Clyne and I. F. White, Chem. Phys. Lett. 6, 465 1970. 34 E. Meeks, R. S. Larson, S. R. Vosen, and J. W. Shon, J. Electrochem. Soc. 144, 357 1997. 35 K. E. Greenberg and J. T. Verdeyen, J. Appl. Phys. 57, 1596 1985. 36 J. A. Barkanic, D. M. Reynolds, J. R. Jaccodine, H. G. Stenger, J. Parks, and H. Vedage, Solid State Technol. 32, 109 1989. J. Vac. Sci. Technol. A, Vol. 16, No. 4, Jul/Aug 1998