The Universal Verification Methodology (UVM) is a standardized hybrid methodology for verifying complex design in the semiconductor

Size: px
Start display at page:

Download "The Universal Verification Methodology (UVM) is a standardized hybrid methodology for verifying complex design in the semiconductor"

Transcription

1 1 Introduction The Universal Verification Methodology (UVM) is a standardized hybrid methodology for verifying complex design in the semiconductor industry. It has superseded the Open Verification Methodology which was an Open Source verification methodology was supported by both Cadence and Mentor. UVM has full industry wide support and standardised under the Accellera Systems Initiative. In this paper TVS describes in detail the differences between the Open Verification Methodology (version2.1.2) and the Universal Verification Methodology (version 1.b). It is intended to help engineers to understand the implications of moving from OVM to UVM. The paper starts with a short history of OVM and UVM to set the context. A detailed comparison then follows looking at phases, managing the end of test, component configuration and finally register modeling. About the Authors: Suresh Babu has been involved in hardware verification for 10 years. Currently he is a project TVS with responsibility for OVM, UVM and erm Based test bench and VIP development, customer support and metric driven based verification signoff using asuresign. Dr. Mike Bartley founded TVS in 2008 after spending over 20 years working in both hardware verification and software testing. About Test and Verification Solutions TVS delivers tailored solutions for hardware verification and software testing. TVS is an independent company providing both services and products (VIP & asuresign ) from offices around the world. TVS prides itself on having the flexibility to meet diverse client requirements. To learn more about our offerings, visit or write to us at info@testandverification.com

2 2 Overview of UVM History UVM is built on System Verilog and the history of that language is shown in Figure 1: History of System Verilog below HiLo Verilog SuperLog VHDL C e Vera System Verilog Figure 1: History of System Verilog Verification methodologies came into existence soon after the first dedicated HVLs (Hardware Verification Languages) appeared (see. The main advantages of adopting a methodology (such as UVM) are Reusability through test bench re use and verification IP allowing plug and play A proven methodology with industry wide support and availability of engineers with existing knowledge/experience Simulator and vendor independence

3 Vera RVM VMM VMM 1.2 System Verilog AVM OVM UVM URM Open Source e erm Figure 2: History of Verification Methodologies 2.1 OVM and UVM Availability The following releases are available in methodology UVM 1.1b (tar.gz) Accellera UVM 1.1b User Guide Accellera UVM 1.1a (tar.gz) Accellera UVM 1.0 (tar.gz) Accellera OVM (.zip) OVM (tar.gz) UVM Register Kit for OVM (tar.gz) OVM< >VMM reference library, examples and documentation

4 3 OVM Phases vs. UVM Phases In this section we look at the main changes in the way phases are handled in UVM. There are 2 changes in the methods (see section 3.1) and changes in the actual numbers of phases (see section 3.2). Note that as these changes are significant and not backwards compatible then there is a way to invoke OVM style semantics. If you add +UVM_USE_OVM_RUN_SEMANTIC in the command line it will cause the run phase to use old OVM style run semantics. 3.1 Changes in phase methods There are 2 changes in the interface to the phase methods. These are summarised below with the detail in Table 1: Summary of the changes in phase methods. 1. Method name changed into <phase_name>_phase. 2. Argument added in all the phase methods. OVM class xbus_env extends ovm_env; // Virtual Interface variable protected virtual interface xbus_if xi0; function void build(); string inst_name; super.build(); if(has_bus_monitor == 1) begin bus_monitor = xbus_bus_monitor::type_id::create("bus_monitor", this); end Endfunction : build UVM class ubus_env extends uvm_env; // Virtual Interface variable protected virtual interface ubus_if vif; function void build_phase(uvm_phase phase); string inst_name; super.build_phase(phase); if(!uvm_config_db#(virtual ubus_if)::get(this, "", "vif", vif)) `uvm_fatal("novif",{"virtual interface must be set for: ",get_full_name(),".vif"}); Endfunction : build_phase

5 // implement run task task run; fork update_vif_enables(); join endtask : run function void end_of_elaboration(); $display("%0t: %0s: end_of_elaboration", $time, get_full_name()); function void start_of_simulation(); $display("%0t: %0s: start_of_simulation", $time, get_full_name()); function void extract(); $display("%0t: %0s: extract", $time, get_full_name()); function void check(); $display("%0t: %0s: check", $time, get_full_name()); function void report(); $display("%0t: %0s: report", $time, get_full_name()); Endfunction Table 1: Summary of the changes in phase methods // implement run task task run_phase(uvm_phase phase); fork update_vif_enables(); join endtask : run_phase function void end_of_elaboration_phase(uvm_phase phase); $display("%0t: %0s: end_of_elaboration", $time, get_full_name()); function void start_of_simulation_phase(uvm_phase phase); $display("%0t: %0s: start_of_simulation", $time, get_full_name()); function void extract_phase(uvm_phase phase); $display("%0t: %0s: extract", $time, get_full_name()); function void check_phase(uvm_phase phase); $display("%0t: %0s: check", $time, get_full_name()); 3.2 Additional phases in UVM UVM saw the introduction of a large number of new phases to give finer control over the simulation. These are summarised in Error! Reference source not found. below.

6 OVM UVM Table 2: Additional phases in UVM

7 4 Managing the End of Test Modern test benches provide a way for components and objects to synchronize their testing activity and indicate it is safe to end the phase and the simulation. UVM (and OVM) provides a built in objection for each phase which allows a component to object to the phase ending. This objection mechanism gives a structured way for hierarchical test bench components status to communicate their status. For example, a component may raise an objection when it starts a transaction with the DUT ( Design Under Test ) and not drop that objection under the transaction is complete. Or a component expecting a response from the DUT will keep an objection raised until the response is received. Note that the uvm_test_done objection also works in UVM, but it is not the recommended way of managing the end of test. In UVM it is recommended to use the available time consuming phases, so using a global variable is no longer a robust mechanism. In OVM, calling global_stop_request was not recommended but it was not deprecated. OVM task run(); seq.start( m_virtual_sequencer ); global_stop_request(); endtask UVM task run_phase( uvm_phase phase ); phase.raise_objection( this ); seq.start( m_virtual_sequencer ); phase.lower_objection( this ); endtask task run(); ovm_test_done.raise_objection(); seq.start( m_virtual_sequencer ); ovm_test_done.drop_objection(); endtask task run_phase( uvm_phase phase ); phase.raise_objection( this, "started sequence" ); seq.start( m_virtual_sequencer ); phase.drop_objection( this, "finished sequence"); endtask Table 3: Comparing end of test in OVM and UVM

8 5 Configuring Component In UVM it is recommended to use uvm_config_db method for configuring components. OVM used the [set,get]_config_[int,string,object] methods for configuring components. The UVM equivalents of these methods are available, but not recommended. The uvm_config_db is parameterized by the type of object that is being configured. OVM class my_env extends ovm_env; function void build(); ahb_cfg = ahb_config::type_id::create("ahb_cfg"); ahb_cfg.width = 16; // set additional fields set_config_object("*","ahb_cfg",ahb_cfg); endclass class my_ahb_agent extends ovm_component; function void build(); ovm_object cfg; ahb_config my_cfg; assert(get_config_object("ahb_cfg",cfg,0); if (!$cast(my_cfg, cfg)) ovm_report_error(); endclass Table 4: Configuring components in OVM and UVM UVM class my_env extends uvm_env; function void build(); ahb_cfg = ahb_config::type_id::create("ahb_cfg"); ahb_cfg.width = 16; // set additional fields uvm_config_db#(ahb_config)::set( this,"ahb_agent","ahb_cfg",ahb_cfg); endclass class my_ahb_agent extends uvm_component; function void build(); ahb_config my_cfg; if (!uvm_config_db::ahb_config::get( this,"","ahb_cfg",my_cfg); `uvm_error() endclass

9 It is recommended to avoid using assign_vi function that takes a virtual interface handle as an argument and calls an equivalent function on one or more child component. This is repeated down until the last component reached. Following approach is not recommended XBUS ENV function void assign_vi(virtual interface xbus_if xi); xi0 = xi; if( bus_monitor!= null) begin bus_monitor.assign_vi(xi); end for(int i = 0; i < num_masters; i++) begin masters[i].assign_vi(xi); end for(int i = 0; i < num_slaves; i++) begin slaves[i].assign_vi(xi); end : assign_vi AGENT function void assign_vi(virtual interface xbus_if xmi); monitor.assign_vi(xmi); if (is_active == UVM_ACTIVE) begin sequencer.assign_vi(xmi); driver.assign_vi(xmi); end : assign_vi Table 5: Avoid using assign_vi

10 6 UVM Register layer Constrained random test benches are required to model the DUT behaviour to predict expected behaviours. This includes models of the registers and/or memories within the DUT. The UVM provides register layer classes to create a high level, object oriented model for memorymapped registers and memories in a design under verification. The following methodology features are key to building and using such a model. Create an abstract model of the registers and memories in DUT o To maintain a mirror of the DUT registers. Create a hierarchy that is analogous to the DUT hierarchy o Register Block o Register File o Memory o Register o Field Provide access to the register through a defined API o Address independent instance/string names Model the address map o Model access via specific interface Figure 3 opposite shows the steps involved in using the register model. Figure 3: The steps involved in using the register model

11 6.1 Access API Table 6: Register access API below gives an overview of the register access API and how it should be used. Command Read()/Write() Description Peek()/poke() Generate Physical Read from the DUT Generate physical Write to the DUT Get()/set() Peek() or poke() methods Read/write directly to the register Get() or set() methods read/write directly to the desired value

12 Update() Mirror() Update() the DUT with desired value in the model Read the DUT register and check/update the model value Table 6: Register access API 7 Summary Over the years various verification methodologies have been introduced in order to optimise use of scarce verification resources. The methodologies have followed an evolution that has brought us naturally to UVM an industry wide methodology built on an open source language and library. In this paper we have shown what is required in order to transition from OVM to UVM.

Hierarchal Testbench Configuration Using uvm_config_db

Hierarchal Testbench Configuration Using uvm_config_db White Paper Hierarchal Testbench Configuration Using uvm_config_db June 2014 Authors Hannes Nurminen Professional Services, Synopsys Satya Durga Ravi Professional Services, Synopsys Abstract SoC designs

More information

Introduction to Functional Verification. Niels Burkhardt

Introduction to Functional Verification. Niels Burkhardt Introduction to Functional Verification Overview Verification issues Verification technologies Verification approaches Universal Verification Methodology Conclusion Functional Verification issues Hardware

More information

UVM Best Practices & Debug

UVM Best Practices & Debug UVM Best Practices & Debug Leo Fang Synopsys, Inc. March 2014 2014 Synopsys. All rights reserved. 1 Agenda UVM Ecosystem UVM Best Practices UVM Debug 2014 Synopsys. All rights reserved. 2 UVM Ecosystem

More information

Getting off the ground when creating an RVM test-bench

Getting off the ground when creating an RVM test-bench Getting off the ground when creating an RVM test-bench Rich Musacchio, Ning Guo Paradigm Works rich.musacchio@paradigm-works.com,ning.guo@paradigm-works.com ABSTRACT RVM compliant environments provide

More information

Requirements-driven Verification Methodology for Standards Compliance

Requirements-driven Verification Methodology for Standards Compliance Requirements-driven Verification Methodology for Standards Compliance Serrie-justine Chapman (TVS) serrie@testandverification.com Mike Bartley (TVS) mike@testandverification.com Darren Galpin (Infineon)

More information

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS WWW.VONBRAUNLABS.COM Issue #1 VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS State Machine Technology IoT Solutions Learn

More information

A Fully Reusable Register/Memory Access Solution: Using VMM RAL

A Fully Reusable Register/Memory Access Solution: Using VMM RAL Access Solution: Using VMM RAL Paul Lungu, Bo Zhu Nortel, Ottawa, Canada ABSTRACT Register structure and memory modeling is a very complex task of any verification methodology. Building a zero time mirror

More information

Using a Generic Plug and Play Performance Monitor for SoC Verification

Using a Generic Plug and Play Performance Monitor for SoC Verification Using a Generic Plug and Play Performance Monitor for SoC Verification Dr. Ambar Sarkar Kaushal Modi Janak Patel Bhavin Patel Ajay Tiwari Accellera Systems Initiative 1 Agenda Introduction Challenges Why

More information

Using a Generic Plug and Play Performance Monitor for SoC Verification

Using a Generic Plug and Play Performance Monitor for SoC Verification Using a Generic Plug and Play Performance Monitor for SoC Verification Ajay Tiwari, ASIC Engineer, einfochips, Ahmedabad, India (ajay.tiwari@einfochips.com) Bhavin Patel, ASIC Engineer, einfochips, Ahmedabad,

More information

ARM Webinar series. ARM Based SoC. Abey Thomas

ARM Webinar series. ARM Based SoC. Abey Thomas ARM Webinar series ARM Based SoC Verification Abey Thomas Agenda About ARM and ARM IP ARM based SoC Verification challenges Verification planning and strategy IP Connectivity verification Performance verification

More information

A REST API for Arduino & the CC3000 WiFi Chip

A REST API for Arduino & the CC3000 WiFi Chip A REST API for Arduino & the CC3000 WiFi Chip Created by Marc-Olivier Schwartz Last updated on 2014-04-22 03:01:12 PM EDT Guide Contents Guide Contents Overview Hardware configuration Installing the library

More information

JavaPOS TM Introduction: 1

JavaPOS TM Introduction: 1 JavaPOS TM Introduction: 1 It was recognized early on that the emergence of the Java language on the computing scene offered several major advantages to the developers of retail applications. The JavaPOS

More information

Definition of SOA. Capgemini University Technology Services School. 2006 Capgemini - All rights reserved November 2006 SOA for Software Architects/ 2

Definition of SOA. Capgemini University Technology Services School. 2006 Capgemini - All rights reserved November 2006 SOA for Software Architects/ 2 Gastcollege BPM Definition of SOA Services architecture is a specific approach of organizing the business and its IT support to reduce cost, deliver faster & better and leverage the value of IT. November

More information

Standard Glossary of Terms Used in Software Testing. Version 3.01

Standard Glossary of Terms Used in Software Testing. Version 3.01 Standard Glossary of Terms Used in Software Testing Version 3.01 Terms Used in the Expert Level Test Automation - Engineer Syllabus International Software Testing Qualifications Board Copyright International

More information

Universal Flash Storage: Mobilize Your Data

Universal Flash Storage: Mobilize Your Data White Paper Universal Flash Storage: Mobilize Your Data Executive Summary The explosive growth in portable devices over the past decade continues to challenge manufacturers wishing to add memory to their

More information

Back-up Server DOC-OEMSPP-S/2014-BUS-EN-10/12/13

Back-up Server DOC-OEMSPP-S/2014-BUS-EN-10/12/13 Back-up Server DOC-OEMSPP-S/2014-BUS-EN-10/12/13 The information contained in this guide is not of a contractual nature and may be subject to change without prior notice. The software described in this

More information

The Java Series. Java Essentials I What is Java? Basic Language Constructs. Java Essentials I. What is Java?. Basic Language Constructs Slide 1

The Java Series. Java Essentials I What is Java? Basic Language Constructs. Java Essentials I. What is Java?. Basic Language Constructs Slide 1 The Java Series Java Essentials I What is Java? Basic Language Constructs Slide 1 What is Java? A general purpose Object Oriented programming language. Created by Sun Microsystems. It s a general purpose

More information

Have both hardware and software. Want to hide the details from the programmer (user).

Have both hardware and software. Want to hide the details from the programmer (user). Input/Output Devices Chapter 5 of Tanenbaum. Have both hardware and software. Want to hide the details from the programmer (user). Ideally have the same interface to all devices (device independence).

More information

Network Discovery Protocols Status. Peter Mendham

Network Discovery Protocols Status. Peter Mendham Network Discovery Protocols Status Peter Mendham 22 April 2012 Agenda Activity overview Requirements approach Requirements overview Protocol approach Protocol status 2 SciSys Overview Activity Scope There

More information

Novas Software, Inc. Introduction. Goal. PART I: Original Technology Donation 1. Data Dump Reader

Novas Software, Inc. Introduction. Goal. PART I: Original Technology Donation 1. Data Dump Reader Novas Software, Inc Introduction SystemVerilog is both a design and verification language For this reason, VPI has been recently extended to handle coverage and assertion data, and there is a proposal

More information

SoMA. Automated testing system of camera algorithms. Sofica Ltd

SoMA. Automated testing system of camera algorithms. Sofica Ltd SoMA Automated testing system of camera algorithms Sofica Ltd February 2012 2 Table of Contents Automated Testing for Camera Algorithms 3 Camera Algorithms 3 Automated Test 4 Testing 6 API Testing 6 Functional

More information

Qsys System Design Tutorial

Qsys System Design Tutorial 2015.05.04 TU-01006 Subscribe This tutorial introduces you to the Qsys system integration tool available with the Quartus II software. This tutorial shows you how to design a system that uses various test

More information

TLM-2.0 in Action: An Example-based Approach to Transaction-level Modeling and the New World of Model Interoperability

TLM-2.0 in Action: An Example-based Approach to Transaction-level Modeling and the New World of Model Interoperability DVCon 2009 TLM-2.0 in Action: An Example-based Approach to Transaction-level Modeling and the New World of Model Interoperability John Aynsley, Doulos TLM Introduction CONTENTS What is TLM and SystemC?

More information

The Use of Hardware Abstraction Layers in Automated Calibration Software

The Use of Hardware Abstraction Layers in Automated Calibration Software The Use of Hardware Abstraction Layers in Automated Calibration Software Speaker: Logan Kunitz Author: Rishee Bhatt National Instruments 11500 N. Mopac Expwy. Austin, TX 78759-3504 (512) 683-6944 Rishee.Bhatt@ni.com

More information

WHITE PAPER DATA GOVERNANCE ENTERPRISE MODEL MANAGEMENT

WHITE PAPER DATA GOVERNANCE ENTERPRISE MODEL MANAGEMENT WHITE PAPER DATA GOVERNANCE ENTERPRISE MODEL MANAGEMENT CONTENTS 1. THE NEED FOR DATA GOVERNANCE... 2 2. DATA GOVERNANCE... 2 2.1. Definition... 2 2.2. Responsibilities... 3 3. ACTIVITIES... 6 4. THE

More information

SIMPLE NETWORK MANAGEMENT PROTOCOL (SNMP)

SIMPLE NETWORK MANAGEMENT PROTOCOL (SNMP) 1 SIMPLE NETWORK MANAGEMENT PROTOCOL (SNMP) Mohammad S. Hasan Agenda 2 Looking at Today What is a management protocol and why is it needed Addressing a variable within SNMP Differing versions Ad-hoc Network

More information

Test Automation Architectures: Planning for Test Automation

Test Automation Architectures: Planning for Test Automation Test Automation Architectures: Planning for Test Automation Douglas Hoffman Software Quality Methods, LLC. 24646 Heather Heights Place Saratoga, California 95070-9710 Phone 408-741-4830 Fax 408-867-4550

More information

AppFabric. Pro Windows Server. Stephen Kaufman. Danny Garber. Apress. INFORMATIONSBIBLIOTHbK TECHNISCHE. U N! V En SIT AT S R!

AppFabric. Pro Windows Server. Stephen Kaufman. Danny Garber. Apress. INFORMATIONSBIBLIOTHbK TECHNISCHE. U N! V En SIT AT S R! Pro Windows Server AppFabric Stephen Kaufman Danny Garber Apress TECHNISCHE INFORMATIONSBIBLIOTHbK T1B/UB Hannover 133 294 706 U N! V En SIT AT S R! B L' OT H E K HANNOVER Contents it Contents at a Glance

More information

PCB Project (*.PrjPcb)

PCB Project (*.PrjPcb) Project Essentials Summary The basis of every design captured in Altium Designer is the project. This application note outlines the different kinds of projects, techniques for working on projects and how

More information

Practice Fusion API Client Installation Guide for Windows

Practice Fusion API Client Installation Guide for Windows Practice Fusion API Client Installation Guide for Windows Quickly and easily connect your Results Information System with Practice Fusion s Electronic Health Record (EHR) System Table of Contents Introduction

More information

Quality. Stages. Alun D. Jones

Quality. Stages. Alun D. Jones Quality - by Design Quality Design Review Stages Alun D. Jones Design Review Stages Design Review 0 (DR0) Pre-order & quotation stage Design Review 1 (DR1) Initial kick-off and preliminary specification

More information

Verification. Formal. OneSpin 360 LaunchPad Adaptive Formal Platform. www.onespin-solutions.com May 2015

Verification. Formal. OneSpin 360 LaunchPad Adaptive Formal Platform. www.onespin-solutions.com May 2015 Formal Verification OneSpin 360 LaunchPad Adaptive Formal Platform www.onespin-solutions.com May 2015 Copyright OneSpin Solutions 2015 Slide 2 Automated Apps: Enabling Mainstream Formal Verification Apps

More information

CONFIGURATION MANAGEMENT PLAN GUIDELINES

CONFIGURATION MANAGEMENT PLAN GUIDELINES I-680 SMART CARPOOL LANE PROJECT SYSTEM ENGINEERING MANAGEMENT PLAN CONFIGURATION MANAGEMENT PLAN GUIDELINE SECTIONS: PLAN GUIDELINES 1. GENERAL 2. ROLES AND RESPONSIBILITIES 3. CONFIGURATION MANAGEMENT

More information

SERVICE ORIENTED ARCHITECTURES (SOA) AND WORKFLOWS NEED FOR STANDARDISATION?

SERVICE ORIENTED ARCHITECTURES (SOA) AND WORKFLOWS NEED FOR STANDARDISATION? SERVICE ORIENTED ARCHITECTURES (SOA) AND WORKFLOWS NEED FOR STANDARDISATION? J-P. Evain European Broadcasting Union (EBU), Switzerland ABSTRACT This paper is an insight into what the EBU, the collective

More information

Web Application Testing. Web Performance Testing

Web Application Testing. Web Performance Testing Web Application Testing Web Performance Testing Objectives of Performance Testing Evaluate runtime compliance to performance requirements Check different properties such as throughput (bits/sec, packets/sec)

More information

Information Technology Infrastructure Library (ITIL) Relative to CMII (Rev B)

Information Technology Infrastructure Library (ITIL) Relative to CMII (Rev B) W H I T E P A P E R Information Technology Infrastructure Library (ITIL) Relative to CMII (Rev B) SUMMARY ITIL provides a framework for organizing service management in an IT environment and is used to

More information

ISO 9001 and ISO 10007 Quality Management Guidance for CM Relative to CMII (Rev B)

ISO 9001 and ISO 10007 Quality Management Guidance for CM Relative to CMII (Rev B) W H I T E P A P E R ISO 9001 and ISO 10007 Quality Management Guidance for CM Relative to CMII (Rev B) SUMMARY Provisions for controlling designs, documents and changes within ISO 9001 (2000) are unchanged

More information

How To Monitor Performance On A Microsoft Powerbook (Powerbook) On A Network (Powerbus) On An Uniden (Powergen) With A Microsatellite) On The Microsonde (Powerstation) On Your Computer (Power

How To Monitor Performance On A Microsoft Powerbook (Powerbook) On A Network (Powerbus) On An Uniden (Powergen) With A Microsatellite) On The Microsonde (Powerstation) On Your Computer (Power A Topology-Aware Performance Monitoring Tool for Shared Resource Management in Multicore Systems TADaaM Team - Nicolas Denoyelle - Brice Goglin - Emmanuel Jeannot August 24, 2015 1. Context/Motivations

More information

EPA Classification No.: CIO 2123.0-P-01.1 CIO Approval Date: 06/10/2013 CIO Transmittal No.: 13-003 Review Date: 06/10/2016

EPA Classification No.: CIO 2123.0-P-01.1 CIO Approval Date: 06/10/2013 CIO Transmittal No.: 13-003 Review Date: 06/10/2016 Issued by the EPA Chief Information Officer, Pursuant to Delegation 1-84, dated June 7, 2005 CONFIGURATION MANAGEMENT PROCEDURE 1 PURPOSE The purpose of this procedure is to describe the process EPA Program

More information

Data Mining Governance for Service Oriented Architecture

Data Mining Governance for Service Oriented Architecture Data Mining Governance for Service Oriented Architecture Ali Beklen Software Group IBM Turkey Istanbul, TURKEY alibek@tr.ibm.com Turgay Tugay Bilgin Dept. of Computer Engineering Maltepe University Istanbul,

More information

Philosophy of GIMnet

Philosophy of GIMnet Philosophy of GIMnet Software Modularity and Reusability through Service Oriented Architecture and Hardware Abstraction Introduction GIMnet MaCI GIMnet = tcphub + GIMI Enables communication between distributed

More information

Engineering Procedure

Engineering Procedure Engineering Procedure Common Owner: Approved by: EPD 0017 DESIGN DOCUMENTATION AND RECORDS Version 2.1 Issued September 2012 Manager Engineering Standards and Configuration Neville Chen Authorised Natalie

More information

Process Models and Metrics

Process Models and Metrics Process Models and Metrics PROCESS MODELS AND METRICS These models and metrics capture information about the processes being performed We can model and measure the definition of the process process performers

More information

Cisco Active Network Abstraction Gateway High Availability Solution

Cisco Active Network Abstraction Gateway High Availability Solution . Cisco Active Network Abstraction Gateway High Availability Solution White Paper This white paper describes the Cisco Active Network Abstraction (ANA) Gateway High Availability solution developed and

More information

From a World-Wide Web of Pages to a World-Wide Web of Things

From a World-Wide Web of Pages to a World-Wide Web of Things From a World-Wide Web of Pages to a World-Wide Web of Things Interoperability for Connected Devices Jeff Jaffe, W3C CEO 25 February 2016 The Internet of Things Still very immature, but with massive potential

More information

State of the World - Statically Verifying API Usage Rule

State of the World - Statically Verifying API Usage Rule Statically Verifying API Usage Rule using Tracematches Xavier Noumbissi, Patrick Lam University of Waterloo November 4, 2010 (University of Waterloo) Statically Verifying API Usage Rule November 4, 2010

More information

Rapid Game Development Using Cocos2D-JS

Rapid Game Development Using Cocos2D-JS Rapid Game Development Using Cocos2D-JS An End-To-End Guide to 2D Game Development using Javascript Hemanthkumar and Abdul Rahman This book is for sale at http://leanpub.com/cocos2d This version was published

More information

System / Verification: Performance & Debug Track Abstracts

System / Verification: Performance & Debug Track Abstracts System / Verification: Performance & Debug Track Abstracts VER2.201 Reducing Snapshot Creation Turnaround for UVM- SV Based TB Using MSIE Approach STMicroelectronics Abhishek Jain - STMicroelectronics

More information

Multi-Objective Genetic Test Generation for Systems-on-Chip Hardware Verification

Multi-Objective Genetic Test Generation for Systems-on-Chip Hardware Verification Multi-Objective Genetic Test Generation for Systems-on-Chip Hardware Verification Adriel Cheng Cheng-Chew Lim The University of Adelaide, Australia 5005 Abstract We propose a test generation method employing

More information

Guideline for Implementing the Universal Data Element Framework (UDEF)

Guideline for Implementing the Universal Data Element Framework (UDEF) Guideline for Implementing the Universal Data Element Framework (UDEF) Version 1.0 November 14, 2007 Developed By: Electronic Enterprise Integration Committee Aerospace Industries Association, Inc. Important

More information

Superseded by T MU AM 04001 PL v2.0

Superseded by T MU AM 04001 PL v2.0 Plan T MU AM 04001 PL TfNSW Configuration Management Plan Important Warning This document is one of a set of standards developed solely and specifically for use on the rail network owned or managed by

More information

ACU-1000 Manual Addendum Replacement of CPM-2 with CPM-4

ACU-1000 Manual Addendum Replacement of CPM-2 with CPM-4 ACU-1000 Manual Addendum Replacement of CPM-2 with CPM-4 1 PURPOSE:... 1 2 CPM-4/CPM-2 COMPATIBILITY... 2 2.1 NETWORK CABLES... 2 2.2 FACTORY DEFAULT SETTINGS... 2 2.3 CHANGING THE RS-232 SERIAL PORT BAUD

More information

Information Technology Career Field Pathways and Course Structure

Information Technology Career Field Pathways and Course Structure Information Technology Career Field Pathways and Course Structure Courses in Information Support and Services (N0) Computer Hardware 2 145025 Computer Software 145030 Networking 2 145035 Network Operating

More information

Architecture and Technologies for HGW

Architecture and Technologies for HGW Architecture and Technologies for HGW Authors: Masahide Nishikawa* and Shunsuke Nishio* Home information and communication technology (ICT) service systems, where household appliances and devices are linked

More information

Product Development Flow Including Model- Based Design and System-Level Functional Verification

Product Development Flow Including Model- Based Design and System-Level Functional Verification Product Development Flow Including Model- Based Design and System-Level Functional Verification 2006 The MathWorks, Inc. Ascension Vizinho-Coutry, avizinho@mathworks.fr Agenda Introduction to Model-Based-Design

More information

GETTING STARTED WITH ANDROID DEVELOPMENT FOR EMBEDDED SYSTEMS

GETTING STARTED WITH ANDROID DEVELOPMENT FOR EMBEDDED SYSTEMS Embedded Systems White Paper GETTING STARTED WITH ANDROID DEVELOPMENT FOR EMBEDDED SYSTEMS September 2009 ABSTRACT Android is an open source platform built by Google that includes an operating system,

More information

Evaluation of Xamarin Forms for MultiPlatform Mobile Application Development

Evaluation of Xamarin Forms for MultiPlatform Mobile Application Development Grand Valley State University ScholarWorks@GVSU Technical Library School of Computing and Information Systems 2016 Evaluation of Xamarin Forms for MultiPlatform Mobile Application Development Amer A. Radi

More information

Test Automation Process

Test Automation Process A white Success The performance testing helped the client identify and resolve performance bottlenecks which otherwise crippled the business. The ability to support 500 concurrent users Test Automation

More information

Chapter 2: OS Overview

Chapter 2: OS Overview Chapter 2: OS Overview CmSc 335 Operating Systems 1. Operating system objectives and functions Operating systems control and support the usage of computer systems. a. usage users of a computer system:

More information

ARM Ltd 110 Fulbourn Road, Cambridge, CB1 9NJ, UK. *peter.harrod@arm.com

ARM Ltd 110 Fulbourn Road, Cambridge, CB1 9NJ, UK. *peter.harrod@arm.com Serial Wire Debug and the CoreSight TM Debug and Trace Architecture Eddie Ashfield, Ian Field, Peter Harrod *, Sean Houlihane, William Orme and Sheldon Woodhouse ARM Ltd 110 Fulbourn Road, Cambridge, CB1

More information

PRESS RELEASE FRAUNHOFER INSTITUTE FOR INTEGRATED CIRCUITS IIS DESIGN AUTOMATION DIVISION EAS. PRESSE RELEASE June 2, 2014 Page 1 5

PRESS RELEASE FRAUNHOFER INSTITUTE FOR INTEGRATED CIRCUITS IIS DESIGN AUTOMATION DIVISION EAS. PRESSE RELEASE June 2, 2014 Page 1 5 PRESS RELEASE June 2, 2014 Page 1 5 European Project VERDI provides Universal Verification Methodology (UVM) in SystemC to Accellera Systems Initiative as new industry standard proposal UVM-SystemC language

More information

Papermule Workflow. Workflow and Asset Management Software. Papermule Ltd

Papermule Workflow. Workflow and Asset Management Software. Papermule Ltd Papermule Workflow Papermule Workflow - the power to specify adaptive and responsive workflows that let the business manage production problems in a resilient way. Workflow and Asset Management Software

More information

Atlas Technology Deployment Guide

Atlas Technology Deployment Guide Atlas Technology Deployment Guide 2015 Bomgar Corporation. All rights reserved worldwide. BOMGAR and the BOMGAR logo are trademarks of Bomgar Corporation; other trademarks shown are the property of their

More information

Software Defined Networking

Software Defined Networking Software Defined Networking Part 1: The rise of programmable networks Market context Technology concept Business benefits In summary: As IDC points out, the emergence of Software Defined Networking (SDN),

More information

Agent Languages. Overview. Requirements. Java. Tcl/Tk. Telescript. Evaluation. Artificial Intelligence Intelligent Agents

Agent Languages. Overview. Requirements. Java. Tcl/Tk. Telescript. Evaluation. Artificial Intelligence Intelligent Agents Agent Languages Requirements Overview Java Tcl/Tk Telescript Evaluation Franz J. Kurfess, Cal Poly SLO 211 Requirements for agent Languages distributed programming large-scale (tens of thousands of computers)

More information

Mobile Software Application Development. Tutorial. Caesar Ogole. April 2006

Mobile Software Application Development. Tutorial. Caesar Ogole. April 2006 Mobile Software Application Development Tutorial By Caesar Ogole April 2006 About the Tutorial: In this tutorial, you will learn how to build a cross-platform mobile software application that runs on the

More information

www.repstor.com Maximise your Microsoft investment to provide Legal Matter Management

www.repstor.com Maximise your Microsoft investment to provide Legal Matter Management www.repstor.com Maximise your Microsoft investment to provide Legal Matter Management Maximise your Microsoft investment to provide Legal Matter Management custodian for legal extends the powerful document

More information

A Near Real-Time Personalization for ecommerce Platform Amit Rustagi arustagi@ebay.com

A Near Real-Time Personalization for ecommerce Platform Amit Rustagi arustagi@ebay.com A Near Real-Time Personalization for ecommerce Platform Amit Rustagi arustagi@ebay.com Abstract. In today's competitive environment, you only have a few seconds to help site visitors understand that you

More information

Power of Oracle in the Cloud

Power of Oracle in the Cloud Power of Oracle in the Cloud www.reliason.com Whitepaper W Overview The Oracle technology is known for its power, productivity and robustness. Likewise, Oracle cloud service is also backed by these features

More information

Communication Diagrams

Communication Diagrams Communication Diagrams Massimo Felici Realizing Use cases in the Design Model 1 Slide 1: Realizing Use cases in the Design Model Use-case driven design is a key theme in a variety of software processes

More information

Operating System Structure

Operating System Structure Operating System Structure Lecture 3 Disclaimer: some slides are adopted from the book authors slides with permission Recap Computer architecture CPU, memory, disk, I/O devices Memory hierarchy Architectural

More information

The C Programming Language course syllabus associate level

The C Programming Language course syllabus associate level TECHNOLOGIES The C Programming Language course syllabus associate level Course description The course fully covers the basics of programming in the C programming language and demonstrates fundamental programming

More information

The structured application of advanced logging techniques for SystemVerilog testbench debug and analysis. By Bindesh Patel and Amanda Hsiao.

The structured application of advanced logging techniques for SystemVerilog testbench debug and analysis. By Bindesh Patel and Amanda Hsiao. Logging makes sense for testbench debug The structured application of advanced logging techniques for SystemVerilog testbench debug and analysis. By Bindesh Patel and Amanda Hsiao. SystemVerilog provides

More information

Ross Video Limited. DashBoard Server and User Rights Management User Manual

Ross Video Limited. DashBoard Server and User Rights Management User Manual Ross Video Limited DashBoard Server and User Rights Management User Manual DashBoard Server and User Rights Management User Manual Ross Part Number: 8351DR-004A-01 Release Date: March 22, 2011. Printed

More information

Agile Manufacturing for ALUMINIUM SMELTERS

Agile Manufacturing for ALUMINIUM SMELTERS Agile Manufacturing for ALUMINIUM SMELTERS White Paper This White Paper describes how Advanced Information Management and Planning & Scheduling solutions for Aluminium Smelters can transform production

More information

Plan for Success. News for the Process Management with ADONIS 6.0 and ADONIS Process Portal R18 and R19. A Product of the BOC Management Office

Plan for Success. News for the Process Management with ADONIS 6.0 and ADONIS Process Portal R18 and R19. A Product of the BOC Management Office Plan for Success News for the Process Management with ADONIS 6.0 and ADONIS Process Portal R18 and R19 A Product of the BOC Management Office December 2014 Tobias Rausch, BOC AG Business Process Management

More information

Thesis Proposal: Improving the Performance of Synchronization in Concurrent Haskell

Thesis Proposal: Improving the Performance of Synchronization in Concurrent Haskell Thesis Proposal: Improving the Performance of Synchronization in Concurrent Haskell Ryan Yates 5-5-2014 1/21 Introduction Outline Thesis Why Haskell? Preliminary work Hybrid TM for GHC Obstacles to Performance

More information

Virtualization for Cloud Computing

Virtualization for Cloud Computing Virtualization for Cloud Computing Dr. Sanjay P. Ahuja, Ph.D. 2010-14 FIS Distinguished Professor of Computer Science School of Computing, UNF CLOUD COMPUTING On demand provision of computational resources

More information

BPMS BUYER S TOOL KIT. Sample Request for Proposal for a Business Process Management Suite. Part 1 of the complete BPMS Buyer s Tool Kit

BPMS BUYER S TOOL KIT. Sample Request for Proposal for a Business Process Management Suite. Part 1 of the complete BPMS Buyer s Tool Kit BPMS BUYER S TOOL KIT Sample Request for Proposal for a Business Process Management Suite Part 1 of the complete BPMS Buyer s Tool Kit TABLE OF CONTENTS Sample Request for Proposal... 3 1. Architecture

More information

Etanova Enterprise Solutions

Etanova Enterprise Solutions Etanova Enterprise Solutions Mobile Development» 2016-07-01 http://www.etanova.com/technologies/mobile-development Contents ios iphone and ipad... 6 Objective-C Programming Language... 6 Swift Programming

More information

The Future of Networking, and the Past of Protocols

The Future of Networking, and the Past of Protocols 1 The Future of Networking, and the Past of Protocols Scott Shenker with Martín Casado, Teemu Koponen, Nick McKeown (and many others.) 2 Software-Defined Networking SDN clearly has advantages over status

More information

White Paper. Web Services External (WS-X) An AS4 Implementation at Cisco

White Paper. Web Services External (WS-X) An AS4 Implementation at Cisco White Paper Web Services External (WS-X) An AS4 Implementation at Cisco Web Services External (WS-X), An AS4 Implementation at Cisco 1 Introduction Modern economy compels business organizations to optimize

More information

OPNET - Network Simulator

OPNET - Network Simulator Simulations and Tools for Telecommunications 521365S: OPNET - Network Simulator Jarmo Prokkola Project Manager, M. Sc. (Tech.) VTT Technical Research Centre of Finland Kaitoväylä 1, Oulu P.O. Box 1100,

More information

PREFACE WHY THIS BOOK IS IMPORTANT

PREFACE WHY THIS BOOK IS IMPORTANT PREFACE If you survey hardware design groups, you will learn that between 60% and 80% of their effort is now dedicated to verification. Unlike synthesizeable coding, there is no particular coding style

More information

Patterns of Information Management

Patterns of Information Management PATTERNS OF MANAGEMENT Patterns of Information Management Making the right choices for your organization s information Summary of Patterns Mandy Chessell and Harald Smith Copyright 2011, 2012 by Mandy

More information

Introduction to Simulink & Stateflow. Coorous Mohtadi

Introduction to Simulink & Stateflow. Coorous Mohtadi Introduction to Simulink & Stateflow Coorous Mohtadi 1 Key Message Simulink and Stateflow provide: A powerful environment for modelling real processes... and are fully integrated with the MATLAB environment.

More information

Quality Assurance - Karthik

Quality Assurance - Karthik Prevention is better than cure Quality Assurance - Karthik This maxim perfectly explains the difference between quality assurance and quality control. Quality Assurance is a set of processes that needs

More information

Chapter 1 Computer System Overview

Chapter 1 Computer System Overview Operating Systems: Internals and Design Principles Chapter 1 Computer System Overview Eighth Edition By William Stallings Operating System Exploits the hardware resources of one or more processors Provides

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

Mobile application development J2ME U N I T I I

Mobile application development J2ME U N I T I I Mobile application development J2ME U N I T I I Overview J2Me Layered Architecture Small Computing Device requirements Run Time Environment Java Application Descriptor File Java Archive File MIDlet Programming

More information

Semantic Description of Distributed Business Processes

Semantic Description of Distributed Business Processes Semantic Description of Distributed Business Processes Authors: S. Agarwal, S. Rudolph, A. Abecker Presenter: Veli Bicer FZI Forschungszentrum Informatik, Karlsruhe Outline Motivation Formalism for Modeling

More information

Weighted Total Mark. Weighted Exam Mark

Weighted Total Mark. Weighted Exam Mark CMP2204 Operating System Technologies Period per Week Contact Hour per Semester Total Mark Exam Mark Continuous Assessment Mark Credit Units LH PH TH CH WTM WEM WCM CU 45 30 00 60 100 40 100 4 Rationale

More information

A Mock RFI for a SD-WAN

A Mock RFI for a SD-WAN A Mock RFI for a SD-WAN Ashton, Metzler & Associates Background and Intended Use After a long period with little if any fundamental innovation, the WAN is now the focus of considerable innovation. The

More information

VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011

VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011 VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011 Amit Gupta President and CEO, Solido Design Automation Abstract This report covers the results of an independent worldwide custom IC design survey. The survey

More information

VHDL Test Bench Tutorial

VHDL Test Bench Tutorial University of Pennsylvania Department of Electrical and Systems Engineering ESE171 - Digital Design Laboratory VHDL Test Bench Tutorial Purpose The goal of this tutorial is to demonstrate how to automate

More information

Programming Languages

Programming Languages Programming Languages Programming languages bridge the gap between people and machines; for that matter, they also bridge the gap among people who would like to share algorithms in a way that immediately

More information

APS Package Certification Guide

APS Package Certification Guide APS Package Certification Guide Revision 1.0.15 Copyright 1999-2012 by Parallels Holdings Ltd. and its affiliates. rights reserved. All Contents Feedback If you have found a mistake in this guide, or if

More information

CHAPTER 11: Flip Flops

CHAPTER 11: Flip Flops CHAPTER 11: Flip Flops In this chapter, you will be building the part of the circuit that controls the command sequencing. The required circuit must operate the counter and the memory chip. When the teach

More information

Hardware Verification with the Unified Modeling Language and Vera

Hardware Verification with the Unified Modeling Language and Vera Hardware Verification with the Unified Modeling Language and Vera Kevin Thompson Ladd Williamson Cypress Semiconductor kbt@cypress.com ldw@cypress.com ABSTRACT A method is proposed whereby the Unified

More information

Recipe for Mobile Data Security: TPM, Bitlocker, Windows Vista and Active Directory

Recipe for Mobile Data Security: TPM, Bitlocker, Windows Vista and Active Directory Recipe for Mobile Data Security: TPM, Bitlocker, Windows Vista and Active Directory Tom Olzak October 2007 If your business is like mine, laptops regularly disappear. Until recently, centrally managed

More information