Utilization of AIMS Bossung plots to predict Qz height deviations from nominal



Similar documents
Additional evidence of EUV blank defects first seen by wafer printing

PROVE, the next generation registration metrology tool, status report

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Measuring Line Edge Roughness: Fluctuations in Uncertainty

Using the Normalized Image Log-Slope, part 3

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure

A Study of Haze Generation as Thin Film Materials

AIMS EUV. Status of Concept and Feasibility Study. Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz*

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization

Process Improvements for Ultra-Thick Photoresist Using a Broadband Stepper

Using the Normalized Image Log-Slope

Scanning Acoustic Microscopy Training

Injection moulding and modelling on a micro scale

Assessment of Camera Phone Distortion and Implications for Watermarking

2. Simple Linear Regression

How To Run Statistical Tests in Excel

A Game of Numbers (Understanding Directivity Specifications)

Acceleration Introduction: Objectives: Methods:

Techniques for removal of contamination from EUVL mask without surface damage

EUV lithography NXE platform performance overview

Plastic Film Texture Measurement With 3D Profilometry

DETECTION OF SUBSURFACE DAMAGE IN OPTICAL TRANSPARENT MATERIALSS USING SHORT COHERENCE TOMOGRAPHY. Rainer Boerret, Dominik Wiedemann, Andreas Kelm

Application Report: Running µshape TM on a VF-20 Interferometer

A Color Placement Support System for Visualization Designs Based on Subjective Color Balance

International Year of Light 2015 Tech-Talks BREGENZ: Mehmet Arik Well-Being in Office Applications Light Measurement & Quality Parameters

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

Design of Experiments (DOE) Tutorial

ISTITUTO NAZIONALE DI FISICA NUCLEARE

Metrology of silicon photovoltaic cells using coherence correlation interferometry

EC247 FINANCIAL INSTRUMENTS AND CAPITAL MARKETS TERM PAPER

Calibration of a High Dynamic Range, Low Light Level Visible Source

GEOENGINE MSc in Geomatics Engineering (Master Thesis) Anamelechi, Falasy Ebere

Motion Graphs. It is said that a picture is worth a thousand words. The same can be said for a graph.

Reflection and Refraction

Analytical Test Method Validation Report Template

Scanning He + Ion Beam Microscopy and Metrology. David C Joy University of Tennessee, and Oak Ridge National Laboratory

Correcting the Lateral Response Artifact in Radiochromic Film Images from Flatbed Scanners

Characterization of an Ultra-Thick Positive Photoresist for Electroplating Applications

AN EXPERT SYSTEM TO ANALYZE HOMOGENEITY IN FUEL ELEMENT PLATES FOR RESEARCH REACTORS

Experiment #1, Analyze Data using Excel, Calculator and Graphs.

Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool

AP Physics 1 and 2 Lab Investigations

Scanners and How to Use Them

Maximum Range Explained range Figure 1 Figure 1: Trajectory Plot for Angled-Launched Projectiles Table 1

Acoustic GHz-Microscopy: Potential, Challenges and Applications

AxioCam MR The All-round Camera for Biology, Medicine and Materials Analysis Digital Documentation in Microscopy

To meet the requirements of demanding new

Software Development and Testing: A System Dynamics Simulation and Modeling Approach

PLOTTING DATA AND INTERPRETING GRAPHS

Phased-Array ROWA-SPA: High-performance testing machine for combined, 100-percent automated testing of square and round bars

Step-by-Step Analytical Methods Validation and Protocol in the Quality System Compliance Industry

Calibration of AFM with virtual standards; robust, versatile and accurate. Richard Koops VSL Dutch Metrology Institute Delft

Automated Optical Inspection is one of many manufacturing test methods common in the assembly of printed circuit boards. This list includes:

Optimization of Photosensitive Polyimide Process for Cost Effective Packaging

Index-Velocity Rating Development for Rapidly Changing Flows in an Irrigation Canal Using Broadband StreamPro ADCP and ChannelMaster H-ADCP

Correlation key concepts:

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications

Session 7 Bivariate Data and Analysis

Chapter 6: Constructing and Interpreting Graphic Displays of Behavioral Data

A Determination of g, the Acceleration Due to Gravity, from Newton's Laws of Motion

Fault Slip Through Measurement in Software Development Process

WHITE PAPER. Are More Pixels Better? Resolution Does it Really Matter?

The Optimization and Characterization of Ultra-Thick Photoresist Films

FREE FALL. Introduction. Reference Young and Freedman, University Physics, 12 th Edition: Chapter 2, section 2.5

Scatter Plot, Correlation, and Regression on the TI-83/84

Big Data Analytics and Decision Analysis for Manufacturing Intelligence to Empower Industry 3.5


Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Modelling, Extraction and Description of Intrinsic Cues of High Resolution Satellite Images: Independent Component Analysis based approaches

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

SPI HS70. Remote Control of Multiple Lines with RMCworks. Systematic Process Management by Inspection Spec Server

Chem 131A: Absorbance of Riboflavin

Lenses and Apertures of A TEM

Barcode positioning systems BPS 8, BPS 34/37 Innovations that truly move you forwards.

Agility, Uncertainty, and Software Project Estimation Todd Little, Landmark Graphics

Scanning Surface Inspection System with Defect-review SEM and Analysis System Solutions

Developments in Photoluminescence Characterisation for Silicon PV

Manual for simulation of EB processing. Software ModeRTL

Copyright PEOPLECERT Int. Ltd and IASSC

Resolution for Color photography

Relationships Among Software Metrics in Benchmarking

Simple Predictive Analytics Curtis Seare

Low-Level Contact Resistance Characterization

2-1 Position, Displacement, and Distance

Improved predictive modeling of white LEDs with accurate luminescence simulation and practical inputs

III. Wet and Dry Etching

Microsoft Research WorldWide Telescope Multi-Channel Dome/Frustum Setup Guide

Thermopile Support: FAQs, Troubleshooting, Care & Maintenance

Integration of a passive micro-mechanical infrared sensor package with a commercial smartphone camera system

h e l p s y o u C O N T R O L

Using Excel (Microsoft Office 2007 Version) for Graphical Analysis of Data

Direct and Reflected: Understanding the Truth with Y-S 3

VOLATILITY AND DEVIATION OF DISTRIBUTED SOLAR

High-Performance Wavelength-Locked Diode Lasers

Why use ColorGauge Micro Analyzer with the Micro and Nano Targets?

Testing a Handprint Data Capture System (with Two Different Form Designs)

Transcription:

Utilization of AIMS Bossung plots to predict Qz height deviations from nominal Anthony Garetto 1, Doug Uzzel 2, Krister Magnusson 1, Jon Morgan 2, Gilles Tabbone 1 1 Carl Zeiss SMS, Carl-Zeiss-Promenade 10, 07745 Jena, Germany 2 Photronics, Inc., 10136 S. Federal Way, Boise, ID 83716 ABSTRACT The ZEISS AIMS measurement system has been established for many years as the industry standard for qualifying the printability of mask features based on the aerial image. Typical parameters in determining the printability of a feature are the critical dimension (CD) and intensity deviations of the feature or region of interest with respect to the nominal. While this information is critical to determine if the feature will pass printability, it gives little insight into why the feature failed. For instance, determining if the failure occurs due to the quartz level deviating from that of the nominal height can be problematic. Atomic force microscopy (AFM) is commonly used to determine such physical dimensions as the quartz etch depth or height and sidewall roughness for verification purposes and to provide feedback to front end processes. In addition the AFM is a useful tool in monitoring and providing feedback to the repair engineers as the depth of the repair is one of the many critical parameters which must be controlled in order to have a robust repair process. In collaboration with Photronics nanofab, we have previously shown the Bossung plot obtained from the AIMS aerial image of a feature can be used to determine if the quartz level of a repaired region is above or below the nominal value. This technique can further be used to extract the etch time associated with the nominal quartz height in order to optimize the repair process. The use of this method can be used in lieu of AFM, effectively eliminating the time and effort associated with performing additional metrology steps in a separate system. In this paper we present experimental results supporting the technique and its applicability. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, Photronics, Carl Zeiss, ZEISS INTRODUCTION As the complexity associated with photomasks continues to increase, so does the number of processing and metrology steps required to create and qualify the photomask. Any effort to reduce the number of steps needed to manufacture a photomask leads to a shorter turn-around time (TAT) and lower processing costs. As hard defects account for nearly 60% of the yield loss mechanism 1, ensuring the highest possible repair yield at the end of the line is another critical aspect in saving the time and costs already invested in the mask. The ZEISS AIMS aerial image measurement system is an absolutely necessary step in photomask qualification 2,3,4 providing a wealth of metrology information based on the aerial image performance of the photomask at actinic wavelength and not its physical dimensions. However in the case that the performance fails, a stand-alone atomic force microscope (AFM) is often utilized to obtain accurate measurements of the physical dimensions of the photomask. Use of the AFM requires an additional, time-consuming metrology step to obtain only a limited data set. It would be advantageous if defect information, such as variations in quartz height, could be extracted from already collected AIMS data therefore eliminating this extra AFM step. Furthermore the ability to predict the optimal repair process from this data could increase the process window of each individual repair as well as the overall repair yield of the mask house. 29th European Mask and Lithography Conference, edited by Uwe F.W. Behringer, Wilhelm Maurer, Proc. of SPIE Vol. 8886, 88860K 2013 SPIE CCC code: 0277-786X/13/$18 doi: 10.1117/12.2031618 Proc. of SPIE Vol. 8886 88860K-1

Figure 1 shows the typical use cases for the AIMS system as they occur in the back end of the line (BEOL) process flow. The mask undergoes an inspection step which results in a large number of possible defect locations. The AIMS system then visits each of these possible defect locations and using the same illumination settings that will be used in the scanner to expose the aerial image of the mask onto the wafer, determines which of these are printing defects and which are not. This defect disposition step is important to determine which of these sites actually require repair and furthermore, which repair process is suitable for each particular defect. After the repair is performed the mask is returned to the AIMS system for repair verification in order to determine if the repair was successful. If successful the mask can then be shipped to the customer, but in the case the repair is still failing, the AIMS data can be used to determine if the site can be further repaired. Use Case I [eft ciisposition h1erit` ' Use Case 2 Repair verification Non -actinic inspection tool locates many 'possible' defect locations u s AIMSTM rl I Which sites are actual defects requiring repair? What is the best repair process for the defect? Performs repair on only those sites requiring repair 1 Was the repair successful? If the repair is not in spec can it be rere,.sirman is.1.111.21.1.1.11 Customer receives a perfect mask Figure 1. Standard AIMS use cases in the BEOL process flow. After inspection the AIMS qualifies each possible defect site and determines which must be repaired. The AIMS is used again to verify the success of the repairs and ensure the mask can be shipped to the customer. Whether performing defect disposition or repair verification, the information pertinent to manufacturing is the printability of the defect, meaning the critical dimension (CD) at best focus as well as in a certain through focus range. Figure 2 shows two of the most commonly used data outputs from the AIMS system. The aerial image can be used to visually find the location of a defect and to define the desired measurement position. The intensity plot is primarily used to determine if a defect or repair is passing or failing by displaying the relative intensity of the aerial image, and therefore the printable CD through focus for a user defined threshold value. This is critical information for the mask house in order to determine if the feature meets the required specifications. MOM 211E +44 0001 0r9M e000 100 0111 Comm 11 % Yn 0001 Raa 6664 7009 102% Plot 1 t F4 KO 1201 161 O1í1 a oo0 0 0.1200 oí7 3 roo 90 oos AIMS (muons al mask) Proue Imnoni x m.uy Figure 2. Two of the most useful AIMS outputs for the mask shop include the aerial image (left) which can be used to locate the defect and define the measurement position, and the intensity plot (right) which is used to quantify if the feature of interest is in or out of spec. Proc. of SPIE Vol. 8886 88860K-2

In the case that the feature of interest does not meet the specifications and results in a defect or failing repair, it is useful to know why. The reason for failure can help to determine the proper repair process as well as to provide feedback to the front end of the line (FEOL) for process optimization or yield improvement. In certain defect cases, such as when the quartz height deviates from the nominal value, this information is not provided from the intensity plot and another metrology step utilizing an AFM must be performed. In this paper it will be shown that the Bossung plot provided by the AIMS can be used as a simple metric to determine qualitatively if a specific region is over or under etched. Furthermore, the slope of the Bossung plot is related to the magnitude of the deviation from the nominal quartz height and will be used to calculate an optimal repair process. The concept of the idea and theoretical explanation will be presented along with experimental results supporting the proposal. CONCEPT In order to explain the effect utilized in this work, consider an embedded attenuated phase shift mask (EAPSM) with a line and space pattern. Three different cases of interest exist as shown in Figure 3 including the nominal case in which the quartz height is at the optimal target position, the overetch case in which the quartz level is below that of the nominal and the underetch case in which phase shifting material remains above the quartz level. For each case, the CD as a function of defocus, or Bossung plot, is of interest. The focus position will be defined as shown in the image with a negative (-) value referring to the intrafocal position when the objective is closer to the mask than at best focus. Conversely the extrafocal position, in which the objective is positioned farther from the mask than best focus will be denoted as positive (+). Maim! W Rut Foote &WooI to) Objective position Figure 3. Definition of overetch, nominal and underetch cases shown in a simple mask structure. Intrafocal refers to an objective position closer to the mask at best focus while extrafocal refers to a position farther from the mask than at best focus. The intensity plot obtained from each case, shown in Figure 4, is used to determine the CD. At best focus (black line) the intensity curve, and therefore the CD extracted for a specific threshold, remains relatively constant among the three different cases. As the objective lens is moved to the intrafocal position (red line) however, the overetched condition displays an inverted response to that of the underetched condition due to the difference in the optical path length 5. As the objective is positioned in the extrafocal position (blue line) the responses invert, but are again opposite with respect to the overetch and underetch cases. Proc. of SPIE Vol. 8886 88860K-3

Iltt.1-j Figure 4. Intensity plot for the three cases considered. The nominal case has a clear isofocal point where the threshold is defined while the over and underetch cases do not. Furthermore, an opposite response to defocus conditions is displayed by the over and underetch cases. In the ideal case there exists an optimal threshold value (the term threshold value will be used in this paper instead of exposure dose) which corresponds to a constant CD through focus, the so-called isofocal point (green line in Figure 4). A Bossung plot at this isofocal point will show slope of 0 for the nominal case as shown in the center graph in Figure 5. For the overetch condition however, the intensity plot in Figure 4 clearly shows that the linewidth increases going from the intrafocal position to the extrafocal position therefore producing a Bossung plot curve with a positive slope as shown in the left graph of Figure 5 below. The underetch condition, on the other hand yields a negative slope. -St------ LW!Admit& o Focus Focus Facus Figure 5. Bossung plots (linewidth vs. threshold) shown for each of the cases considered. The nominal case has a slope of 0 due to the isofocal behavior. The overetch case shows a positive slope while the underetch case has a negative slope. A Bossung plot with a positive slope therefore indicates an overetched condition in which the quartz level is below that of the nominal while a negative slope indicates a condition in which material (quartz or absorber) remains at a height above the nominal quartz level. The next section will present experimental results supporting this theory as well as demonstrate the fact that the magnitude of the Bossung plot slope is related to the magnitude of the deviation from the nominal quartz height. EXPERIMENTAL RESULTS In order to explore the feasibility of the proposed technique an experiment was designed and performed with a suite of tools in the Photronics nanofab production line. All etches were performed with a ZEISS MeRiT MG45 electron beam based repair tool and physical height data was verified via AFM. All aerial imaging data including the Bossung plot information was obtained with a ZEISS AIMS 45 system. Proc. of SPIE Vol. 8886 88860K-4

The photomask used was a 193nm MoSi EAPSM designed for testing with various features and programmed defects. For the first part of the experiment a horizontal line and space array with a nominal CD of 230nm and a 1:1 pitch was utilized. Four programmed bridge defects, like the one shown in Figure 6, were etched using the MeRiT with fixed times of 280 s, 240 s, 200 s and 190 s in order to provide two over etched repairs of different depth and two under etched repairs of different height. A summary of the times and deviation from the nominal quartz value are summarized in the table on the right in Figure 6. Linewidth vs. Defocus Etch time (s) AZ from quartz (nm) 280-3.9 240-1.3 200 6.4 190 10.2 'or Figure 6. The two images on the left show SEM views of one of the programmed defects before and after etch. The table on the right summarizes the fixed etch times and deviation from the nominal quartz level as measured by AFM. The Bossung Plots for each of the four etched sites were obtained with the AIMS 45 system and the linewidth vs. defocus curves for the optimum threshold of each etch were extracted. These curves are plotted in Figure 7 below...,.. T Positiv* Si013.0S indicate cveetch 2a0 I 4 4,9 nnl 2=O s -1.3 nm -11M, -Ms s 14G soclr 0.17-1Z -i 'e -G#.S 4.4 Q Q.4 QA 12 1.0 Fosus Position inni Negative slopes Indicate underetch 200 a -) 0.4 rim 190s 4 10.2 rim Figure 7. The centermost Bossung curve for each of the 4 etches is plotted together. The over etched sites (red) both display a positive slope while the under etched sites (blue) display negative slopes. The magnitude of the slopes in both cases appear to scale with the deviation from the nominal. First, it can be seen that for every etch there is a significant change in the linewidth across the focus range, indicating a non-optimal etch depth. Secondly, for the two over etched repairs plotted in red (280 s and 240 s) the slope is positive, while for the two under etched repairs plotted in blue (200 s and 190 s) the slope is negative. Therefore the claim that the direction of the slope extracted from the Bossung plot can tell whether a feature is above or below the nominal quartz etch holds true. The third important observation is that the magnitude of the slope appears to correlate to that of the Proc. of SPIE Vol. 8886 88860K-5

deviation from the nominal quartz value. The positive slope of the 280 s (-3.9 nm deep) etch is steeper than that of the shallower 240 s (-1.3 nm deep) etch. Similarly the negative slope of the 190 s etch (10.2 nm height) is steeper than that of the 200 s etch (6.4 nm height). In order to further investigate this effect a linear regression line was fit to each of the linewidth vs. defocus curves above and the slopes were extracted. These slopes were plotted against their respective etch times in a single graph shown in Figure 8 below. Bos.sung slope vs. Etch time y* 0.1355x- S0.059 ì.s626 284 23S Etch Mite 14 Figure 8. This graph plots the slope of the centermost Bossung curve against the corresponding etch time for each of the 4 etch times performed. As the optimal etch time should have a slope of 0, a linear regression line was plotted and the etch time corresponding to slope = 0 extracted. An optimum repair should have no change in linewidth through focus and therefore have a slope of 0 for the linewidth vs. defocus curve. A linear regression line was plotted and the regression line was solved for y = 0 (in this plot y is the slope) yielding a theoretical optimum repair time of 222 s. In order to experimentally verify this etch time, another defect was etched with the MeRiT using a fixed 222 s time and then the aerial image data was obtained with the AIMS. Figure 9 shows the AIMS data acquired for the optimized 222 s etch. The linewidth vs. threshold plot in the lower right shows a well-defined iso-focal point while the center Bossung curve in the lower left appears to have a slope near 0. These are both good indicators of a successful repair. Proc. of SPIE Vol. 8886 88860K-6

RR 9 IMP!! i..r 17. Figure 9. AIMS data acquired from the theoretical optimized etch time of 222 s. The clear isofocal crossover point in the linewidth vs. Threshold plot (bottom...i 41M.1 right) as well as the flat slope in the Bossung plot (bottom left) indicate a successful repair. IiR AxäZl4!2 As a final step to confirm that II the 222 s etch time did correspond to a slope of 0, the center linewidth vs. defocus curve of the Bossung plot was extracted and plotted separately in Figure 10 below. A linear regression line fit to the data produces a slope of 0, indicating that the technique perfectly predicted the etching time required to obtain a slope of 0, and therefore a nominal quartz height. 222 Second Etch 0.26 0.25 E 0.24 y = -0.0000x+ 0.2283-4 0.23 0.22 c 0.21 0.2 0.19-1.6-0 8 0 0.8 1.6 Focus (um) Figure 10. Centermost Bossung plot curve for the theoretically optimized etch time of 222 s shows a relatively flat through slope indicating stable through focus CD behavior. A linear regression line fit produced a slope of 0.0. In order to verify the process is repeatable a second experiment was performed in the same manner with a vertical line and space array of the same linewidth and pitch as well as the same bridge defects. The resulting optimal etch time extracted was 225 s, a 1.5% difference from the initial 222 s etch time obtained from the first experiment. In terms of electron beam repair processes this is a negligible difference. A final etch of 225 s was again performed to validate the experiment and a perfect repair with a slope of 0 was again obtained. Proc. of SPIE Vol. 8886 88860K-7

CONCLUSIONS AND OUTLOOK In collaboration with Photronics nanofab, it has been demonstrated that the Bossung plot output by the AIMS system can be used to extract useful information about the variation in z-height from the nominal quartz level for a specific etched feature. The slope of the Bossung plot provides immediate qualitative information as to whether the etched region is below or above the nominal. In the case that the Bossung plot has a positive slope, the quartz level lies below that of the nominal level corresponding to an over etch. A negative slope is, on the other hand, indicative of material remaining above the nominal level corresponding to an under etch. The magnitude of the slope of the Bossung plot correlates to the magnitude of the deviation from the nominal quartz height. As the slope, either positive or negative, becomes steeper the variation in height, either below or above the quartz, becomes larger. This relationship has been shown useful in calculating the optimal repair process. This technique can be used to extract height differences relative to a nominal reference in lieu of an AFM metrology step thus shortening TAT. Optimum repair processes can be calculated in order to increase repair yield. Feedback can be provided to front end processes in order to optimize them. Many aspects of this technique are yet to be investigated including the sensitivity and reliability across various mask materials, features and defect sizes. The ability to calculate deposition heights will also be investigated as well as the applicability for quartz bumps and pits. ACKNOWLEDGEMENTS The authors would like to acknowledge the contributions of Thomas Thaler and Vahagn Sargsyan of ZEISS SMS and Mark Ma of Photronics. REFERENCES 1 Malloy, M., and Litt, L., 2012 Mask Industry Survey, Proc. SPIE 8522, (2012). 2 Zibold, A., et. Al., Application results at 193nm: lithography emulation by aerial imaging and supplementary high resolution measurements, Proc. SPIE 5835, (2005). 3 Duerr, A., Zibold, A., and Boehm, K., An advanced study for defect disposition through 193nm aerial imaging, Proc. SPIE Vol. 6152, (2006). 4 Scheruebl, T., et. al., Programmed defects study on masks for 45nm immersion lithography using the novel AIMs 45-193i, Proc. SPIE 6533, (2007). 5 Mack, C., [Fundamental Principles of Optical Lithography], John Wiley & Sons Ltd., West Sussex, England, 445-450 (2007). Proc. of SPIE Vol. 8886 88860K-8