Hybrid Memory Cube Controller Design Example User Guide

Size: px
Start display at page:

Download "Hybrid Memory Cube Controller Design Example User Guide"

Transcription

1 Hybrid Memory Cube Controller Design Example User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG Innovation Drive San Jose, CA

2 TOC-2 Contents Hybrid Memory Cube Controller Design Example Quick Start Guide Design Example Directory Structure Design Example Components Generating the Design Example Understanding the Testbench Simulating the Design Example Testbench Setting Up the Board Compiling and Testing the Design Example in Hardware Hybrid Memory Cube Controller Design Example Description Design Example Description Features Hardware and Software Requirements Functional Description Interface Signals Design Example Register Map Additional Information...A-1 HMC Controller Design Example User Guide Revision History... A-1 How to Contact Altera... A-1 Typographic Conventions... A-2

3 Hybrid Memory Cube Controller Design Example Quick Start Guide 1 UG Subscribe To help you understand how to use the Hybrid Memory Cube Controller IP core, the core features a simulatable testbench and a hardware design example that supports compilation and hardware testing. When you generate the design example, the parameter editor automatically creates the files necessary to simulate, compile, and test the design in hardware. You can download the compiled design to the Arria 10 GX FPGA Development Kit. Figure 1-1: Development Steps for the Design Example Compilation (Simulator) Functional Simulation Example Design Generation Compilation (Quartus Prime) Hardware Testing Related Information Hybrid Memory Cube Controller IP Core User Guide All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered Innovation Drive, San Jose, CA 95134

4 1-2 Design Example Directory Structure UG Design Example Directory Structure Figure 1-2: Directory Structure for the Generated Design Example hmcc_mtaps_0_example_design (default name of <example_design_install_dir>) ip Supporting IP.qsys and other files src Supporting IP and testbench files example_design sim. hmcc_tb.sv hmc_cfg.sv Makefile <Simulation Script> par Hardware design files hmcc_example.qpf hmcc_example.qsf hmcc_example.sdc sysconsole_testbench.tcl <Simulation Script> The hardware configuration and test files (the hardware design example) are located in <example_ design_install_dir>/example_design/par. The simulation files (testbench for simulation only) are located in <example_design_install_dir>/example_design/sim. Design Example Components The HMC Controller hardware design example includes the following components: HMC Controller IP core with CDR reference clock set to 125 MHz and with default RX mapping and TX mapping settings. Note: The design example requires these settings to operate properly on the Arria 10 GX FPGA Development Kit with the HMC daughter card. Client logic that coordinates the programming of the IP core, and packet generation and checking. JTAG controller that communicates with the Altera System Console. You communicate with the client logic through the System Console. Hybrid Memory Cube Controller Design Example Quick Start Guide

5 UG Design Example Components 1-3 Figure 1-3: HMC Controller Design Example Block Diagram Board Clocks & Reset Arria 10 Device TX PLLs HMC Controller IP Core Data Path Request Generator and Response Monitor TX TX FIFO MAC TX Lane Swapper Transceiver x16 HMC Device RX MAC RX Lane Swapper LEDs Test Controller Avalon-MM Avalon-MM I 2C Master Control and Status Interface Initialization State Machine Arria 10 Transceiver Reconfiguration Interface Table 1-1: HMC Controller IP Core Design Example Testbench File Descriptions Lists the key files that implement the example testbench. File Names Description Testbench and Simulation Files /src/hmcc_example.sv /sim/hmcc_tb.sv Top-level hardware design example file. Top-level file for simulation. Testbench Scripts Note: Use the provided Makefile to generate these scripts. /sim/run_vsim.do /sim/run_vcs.sh /sim/run_ncsim.sh The ModelSim script to run the testbench. The Synopsys VCS script to run the testbench. The Cadence NCSim script to run the testbench. Hybrid Memory Cube Controller Design Example Quick Start Guide

6 1-4 Generating the Design Example Table 1-2: HMCC Controller IP Core Hardware Design Example File Descriptions UG File Names hmcc_example.qpf hmcc_example.qsf hmcc_example.sdc../src/hmcc_example.sv Quartus Prime project file Description Quartus Prime project settings file Quartus Prime project Synopsys Design Constraints file Top-level Verilog HDL design example file Scripts sysconsole_testbench.tcl Main file for accessing System Console Generating the Design Example Figure 1-4: Procedure Start Parameter Editor Specify IP Variation and Select Device Select Design Parameters Specify Example Design Initiate Design Generation Hybrid Memory Cube Controller Design Example Quick Start Guide

7 UG Generating the Design Example 1-5 Figure 1-5: Example Design Tab in Hybrid Memory Cube Controller Parameter Editor Follow these steps to generate the Arria 10 hardware design example and testbench: 1. In the IP Catalog (Tools > IP Catalog), select the Arria 10 target device family. 2. In the IP Catalog, locate and select Hybrid Memory Cube Controller. The New IP Variation window appears. 3. Specify a top-level name for your custom IP variation. The parameter editor saves the IP variation settings in a file named <your_ip>.qsys. 4. You must select a specific Arria 10 device in the Device field, or keep the default device the Quartus Prime software selects. 5. Click OK. The IP parameter editor appears. 6. On the IP tab, specify the parameters for your IP core variation. 7. On the Example Design tab, choose the following settings for the design example: a. For Select Design, select the HMCC Daughter Board option. b. For Example Design Files, select the Simulation option to generate the testbench, and select the Synthesis option to generate the hardware design example. c. For Generated HDL Format, only Verilog is available. d. For Target Development Kit select the Arria 10 GX FPGA Development Kit (Production Silicon). Hybrid Memory Cube Controller Design Example Quick Start Guide

8 1-6 Understanding the Testbench Note: When you choose this kit, the hardware design example overwrites your previous device selection with the device on the target board. When you generate the design example, the Quartus Prime software creates Quartus Prime project, setting, and pin assignments for the board you selected. If you do not want the software to target a specific board, select None. 8. Click the Generate Example Design button. UG Understanding the Testbench Altera provides an design example with the HMC Controller IP core. The design example is available both for simulation of your IP core and for compilation. The design example in simulation functions as the HMC Controller IP core testbench. If you click Generate Example Design in the HMC Controller parameter editor, the Quartus Prime software generates a demonstration testbench. The parameter editor prompts you for the desired location of the testbench. To simulate the testbench, you must provide your own HMC bus functional model (BFM). Altera tests the design example testbench with the Micron Hybrid Memory Cube BFM. The testbench does not include an I 2 C master module, because the Micron HMC BFM does not support and does not require configuration by an I 2 C module. In simulation, the testbench controls a TX PLL and the data path interfaces to perform the following sequence of actions: 1. Configures the HMC BFM with the HMC Controller IP core data rate and channel width, in Response Open Loop Mode. 2. Establishes the link between the BFM and the IP core. 3. Directs each of the IP core's four ports to write four packets of data to the BFM. 4. Directs the IP core to read back the data from the BFM. 5. Checks that the read data matches the write data. 6. If the data matches, displays TEST_PASSED. Simulating the Design Example Testbench Figure 1-6: Procedure Change to Testbench Directory. Run <Simulation Script>. Analyze Results. Hybrid Memory Cube Controller Design Example Quick Start Guide

9 UG Setting Up the Board 1-7 Follow these steps to simulate the testbench: 1. At the command line, change to the <design example>/sim directory. 2. Type make scripts. 3. Type one of the following commands, depending on your simulator: Simulator License Mentor Graphics QuestaSim Synopsys VCS Cadence NCSIM Command Line make vsim HMC_MODEL=<HMC BFM directory> make vcs HMC_MODEL=<HMC BFM directory> make ncsim HMC_MODEL=<HMC BFM directory> 4. To view simulation results: When you run the testbench in any of the three supported simulators, the script executes the testbench sequence and logs the simulator activity in <design example directory>/example_design/ sim/<simulator>.log. <simulator> is "vsim", "ncsim", or "vcs". When you run the testbench in any of the three supported simulators, the script generates a waveform file. You can run the command make <simulator>_gui to load the waveform in the simulator-specific waveform viewer. To view the waveform file in your simulator, type one of the following commands: Simulator License Command Line Waveform File Mentor Graphics ModelSim Synopsys Discovery Visual Environment Cadence SimVision Waveform make vsim_gui make vcs_gui make ncsim_gui <design example directory>/example_design/sim/ mentor/hmcc_wf.wlf <design example directory>/example_design/sim/ hmcc_wf.vpd <design example directory>/example_design/sim/ cadence/hmcc_wf.shm 5. Analyze the results. The successful testbench sends and receives ten packets per port, and displays Test_PASSED" Setting Up the Board Set up the board to run the hardware design example. Note: Ensure that power is turned off before you change any settings. 1. Set the DIP switches on the daughter card as follows: Set DIP switch SW1 to indicate cube ID 0: Switch Function Setting 1 CUB[0] Open 2 CUB[1] Open Hybrid Memory Cube Controller Design Example Quick Start Guide

10 1-8 UG Setting Up the Board Switch Function Setting 3 CUB[2] Open 4 Don't Care Set DIP switch SW2 to specify clock settings: Switch Function Setting 1 CLK1_FSEL0 Open (125 MHz) 2 CLK1_FSEL1 Open (125 MHz) 3 CLK1_SEL Open (Crystal) 4 Don't Care 2. Connect the HMC daughter card to the Arria 10 FPGA Development Kit using the daughter card's J8 and J10 connectors. 3. Set the jumpers on the Arria 10 GX FPGA Development Kit: Add shunts to the J8 jumper to select 1.5 V as the VCCIO setting for FMC connector B. Add shunts to the J11 jumper to select 1.8 V as the VCCIO setting for FMC connector A. Figure 1-7: Arria 10 GX FPGA Development Kit and HMC Daughter Card HMC Daughter Card DIP Switches SW1 and SW2 Arria 10 GX FPGA Development Kit Jumpers J8 and J11 Hybrid Memory Cube Controller Design Example Quick Start Guide

11 UG Compiling and Testing the Design Example in Hardware 1-9 Compiling and Testing the Design Example in Hardware To compile and run a demonstration test on the hardware design example, follow these steps: 1. Ensure hardware design example generation is complete. 2. In the Quartus Prime software, open the Quartus Prime project <example_design_install_dir>/ example_design/par/hmcc_example.qpf. 3. In the Compilation Dashboard, click Compile Design (Quartus Prime Pro Edition) or choose Processing > Start Compilation (Quartus Prime Standard Edition). 4. After you generate a.sof, follow these steps to program the hardware design example on the Arria 10 device: a. Choose Tools > Programmer. b. In the Programmer, click Hardware Setup. c. Select a programming device. d. Select and add the Arria 10 GX FPGA Development Kit to which your Quartus Prime session can connect. e. Ensure that Mode is set to JTAG. f. Click Auto Detect and choose any device. g. Double-click the Arria 10 device. h. Open the.sof in <example_design_install_dir>/example_design/par/output_files, Note: The Quartus Prime software changes the device to the one in the.sof. i. In the row with your.sof, check the box in the Program/Configure column. j. Click Start. k. After the software configures the device with the hardware design example, observe the board LEDs: A blinking red LED signifies the design is running. Two green LEDs near the red blinking LED signifies that the HMC link is initialized and the test passed. One red LED near the red blinking LED signifies that the test failed. l. Optional. Use the System Console testbench to observe additional test output. Note: Use the System Console to monitor status signals in the design example when the board is connected to your computer via the JTAG interface. The System Console shows the board's LED status for remote monitoring, the initialization status for each step, and the status of each port s request generator and response checker. The System Console also provides an interface to start or re-start the test. a. Choose Tools > System Debugging Tools > System Console. b. In the System Console, choose File > Execute Script. c. Open the file <example_design_install_dir>/example_design/par/sysconsole_testbench.tcl. d. The software loads graphical test output. Choose Re-start to run the test again. Hybrid Memory Cube Controller Design Example Quick Start Guide

12 1-10 Compiling and Testing the Design Example in Hardware Figure 1-8: System Console Testbench Output UG Related Information Programming Altera Devices Analyzing and Debugging Designs with System Console Quartus Prime Standard Edition Handbook, Volume 3: Verification For information about programming an Altera device, refer to the "Programming Altera Devices" chapter. Hybrid Memory Cube Controller Design Example Quick Start Guide

13 Hybrid Memory Cube Controller Design Example Description 2 UG Subscribe Design Example Description Features The design example demonstrates the functionality of the Hybrid Memory Cube Controller IP core. You can generate the design from the Example Design tab of the Hybrid Memory Cube Controller graphical user interface (GUI) in the IP parameter editor. I 2 C master and I 2 C initialization state machine for HMC daughter card and HMC configuration ATX PLL and transceiver recalibration state machine Request generator Request monitor System Console interface Hardware and Software Requirements Altera uses the following hardware and software to test the design example: Quartus Prime software System Console ModelSim-AE, Modelsim-SE, NCsim (Verilog HDL only), or VCS simulator Arria 10 GX FPGA Development Kit HMC daughter card Functional Description Altera provides a compilation-ready design example with the HMC Controller IP core. This design example targets the Arria 10 GX FPGA Development Kit with an HMC daughter card connected through the FMC connectors. You can use the design as an example for correct connection of your IP core to your design, or as a starter design you can customize for your own design requirements. The design example includes an I 2 C master module, a PLL/CDR recalibration module, one external transceiver PLL IP core, and logic to generate and All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered Innovation Drive, San Jose, CA 95134

14 2-2 Interface Signals check transactions. The design example assumes a Micron HMC 15G-SR HMC device, which is a fourlink device, on the daughter card. The design example includes one instance of the IP core and connects to a single link on the HMC device. Figure 2-1: HMC Controller Design Example Block Diagram UG Board Clocks & Reset Arria 10 Device TX PLLs HMC Controller IP Core Data Path Request Generator and Response Monitor TX TX FIFO MAC TX Lane Swapper Transceiver x16 HMC Device RX MAC RX Lane Swapper LEDs Test Controller Avalon-MM Avalon-MM I 2C Master Control and Status Interface Initialization State Machine Arria 10 Transceiver Reconfiguration Interface After you configure the Arria 10 FPGA with the design example, the I 2 C controller configures the onboard clock generators and the HMC device. When calibration completes, the design example calibrates the ATX PLL. During operation, the request generator generates read and write commands that the HMC Controller IP core then processes. The request monitor captures the responses from the IP core and checks them for correctness. Interface Signals Table 2-1: HMC Controller IP Core Design Example Signals Signal Name Direction Width (Bits) Description clk_50 Input 1 50 MHz input clock. hssi_refclk Input 1 CDR reference clock for HMC and HMCC IP core. Hybrid Memory Cube Controller Design Example Description

15 UG Design Example Register Map 2-3 Signal Name Direction Width (Bits) Description hmc_lxrx Input Channel Count (16 or 8) hmc_lxtx Output Channel Count (16 or 8) FPGA transceiver receive pins. FPGA transceiver transmit pins. hmc_ctrl_lxrxps Input 1 FPGA transceiver power save control. hmc_ctrl_lxtxps Output 1 HMC transceiver power save control. hmc_ctrl_ferr_n Input 1 HMC FERR_N output. hmc_ctrl_p_rst_n Output 1 HMC P_RST_N input. hmc_ctrl_scl Bi-Directional 1 HMC I 2 C configuration clock. hmc_ctrl_sda Bi-Directional 1 HMC I 2 C configuration data. fmc0_scl Output 1 Unused. Driven low to protect the FPGA I/O pins from the 3.3 V pullup on the daughter card. fmc0_sda Output 1 Unused. Driven low to protect the FPGA I/O pins from the 3.3 V pullup on the daughter card. push_button Input 1 Push button input used for reset. heart_beat_n Output 1 Heartbeat LED output. link_init_complete_n Output 1 Link initialization complete LED output. test_passed_n Output 1 Test passed LED output. test_failed_n Output 1 Test failed LED output. Design Example Register Map Table 2-2: HMC Controller IP Core Design Example Register Map Offset 0x00 0x01 0x02 0x03 Register DESIGN_INFO and RESET BOARD_LEDS TEST_INITIALIZATION_STATUS PORT_TEST_STATUS Hybrid Memory Cube Controller Design Example Description

16 2-4 Design Example Register Map Table 2-3: DESIGN_INFO AND RESET Registers UG Writing to these registers resets the design. Bits Field Name Type Value on Reset Description 1:0 Port Count RO Varies Number of ports for the IP core instance. 7:2 Reserved RO 0x00 Table 2-4: BOARD_LEDs Register This register reflects the status of the board's LEDs. Bits Field Name Type Value on Reset Description 0 Test Failed RO 0x00 Test failed. 1 Test Passed RO 0x00 Test passed. 2 HMCC Link Initialization Complete RO 0x00 HMC link initialization complete and ready for traffic. 3 Heartbeat RO 0x00 Toggles when the design is running. 7:4 Reserved RO 0x00 Table 2-5: TEST_INITIALIZATION_STATUS Register Bits Field Name Type Value on Reset Description 0 I 2 C Clock Generator Set 1 ATX PLL and Transceiver Recalibration Complete 2 I 2 C HMC Configuration Complete 3 HMC Link Initialization Complete 7:4 Reserved RO 0x00 Table 2-6: PORT_STATUS Register RO 0x00 On- board clock generators configured. RO 0x00 ATX PLL and transceivers recalibrated to the input clock. RO 0x00 HMC device configuration over I 2 C complete. RO 0x00 HMC link initialization complete and ready for traffic. Bits Field Name Type Value on Reset Description 0 Port 0 Requests OK RO 0x00 Port 0 request generation complete. 1 Port 0 Responses OK RO 0x00 Port 0 response checking passed. 2 Port 1 Requests OK RO 0x00 Port 1 request generation complete. 3 Port 1 Responses OK RO 0x00 Port 1 response checking passed. Hybrid Memory Cube Controller Design Example Description

17 UG Design Example Register Map 2-5 Bits Field Name Type Value on Reset Description 4 Port 2 Requests OK RO 0x00 Port 2 request generation complete. 5 Port 2 Responses OK RO 0x00 Port 2 response checking passed. 6 Port 3 Requests OK RO 0x00 Port 3 request generation complete. 7 Port 4 Responses OK RO 0x00 Port 3 response checking passed. Hybrid Memory Cube Controller Design Example Description

18 Additional Information A UG Subscribe HMC Controller Design Example User Guide Revision History Table A-1: Document Revision History Summarizes the new features and changes in the design example user guide for the HMC Controller IP core. Date ACDS Version Changes 16.0 Initial release. How to Contact Altera Table A-2: How to Contact Altera To locate the most up-to-date information about Altera products, refer to this table. You can also contact your local Altera sales office or sales representative. Contact Contact Method Address Technical support Website Technical training Website custrain@altera.com Product literature Website Nontechnical support: general nacomp@altera.com Nontechnical support: software licensing authorization@altera.com All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9001:2008 Registered Innovation Drive, San Jose, CA 95134

19 A-2 Typographic Conventions Related Information UG Typographic Conventions Table A-3: Typographic Conventions Lists the typographic conventions this document uses. Visual Cue Bold Type with Initial Capital Letters bold type Italic Type with Initial Capital Letters Meaning Indicate command names, dialog box titles, dialog box options, and other GUI labels. For example, Save As dialog box. For GUI elements, capitalization matches the GUI. Indicates directory names, project names, disk drive names, file names, file name extensions, software utility names, and GUI labels. For example, \ qdesigns directory, D: drive, and chiptrip.gdf file. Indicate document titles. For example, Stratix V Design Guidelines. italic type Indicates variables. For example, n + 1. Variable names are enclosed in angle brackets (< >). For example, <file name> and <project name>. pof file. Initial Capital Letters Subheading Title Indicate keyboard keys and menu names. For example, the Delete key and the Options menu. Quotation marks indicate references to sections in a document and titles of Quartus Prime Help topics. For example, Typographic Conventions. Additional Information

20 UG Typographic Conventions A-3 Visual Cue Meaning Courier type Indicates signal, port, register, bit, block, and primitive names. For example, data1, tdi, and input. The suffix n denotes an active-low signal. For example, resetn. Indicates command line commands and anything that must be typed exactly as it appears. For example, c:\qdesigns\tutorial\chiptrip.gdf. Also indicates sections of an actual file, such as a Report File, references to parts of files (for example, the AHDL keyword SUBDESIGN), and logic function names (for example, TRI). 1., 2., 3., and a., b., c., and so on Numbered steps indicate a list of items when the sequence of the items is important, such as the steps listed in a procedure. Bullets indicate a list of items when the sequence of the items is not important. The Subscribe button links to the Subscription Management Center page of the Altera website, where you can sign up to receive update notifications for Altera documents. The Feedback icon allows you to submit feedback to Altera about the document. Methods for collecting feedback vary as appropriate for each document. Related Information Subscription Management Center Additional Information

ModelSim-Altera Software Simulation User Guide

ModelSim-Altera Software Simulation User Guide ModelSim-Altera Software Simulation User Guide ModelSim-Altera Software Simulation User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01102-2.0 Document last updated for Altera Complete

More information

MasterBlaster Serial/USB Communications Cable User Guide

MasterBlaster Serial/USB Communications Cable User Guide MasterBlaster Serial/USB Communications Cable User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 80 Document Version: 1.1 Document Date: July 2008 Copyright 2008 Altera

More information

MAX V CPLD Development Kit User Guide

MAX V CPLD Development Kit User Guide MAX V CPLD Development Kit User Guide MAX V CPLD Development Kit User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01099-1.0 Subscribe Copyright 2011 Altera Corporation. All rights reserved.

More information

Using Nios II Floating-Point Custom Instructions Tutorial

Using Nios II Floating-Point Custom Instructions Tutorial Using Nios II Floating-Point Custom Instructions Tutorial 101 Innovation Drive San Jose, CA 95134 www.altera.com TU-N2FLTNGPNT-2.0 Copyright 2010 Altera Corporation. All rights reserved. Altera, The Programmable

More information

Altera Error Message Register Unloader IP Core User Guide

Altera Error Message Register Unloader IP Core User Guide 2015.06.12 Altera Error Message Register Unloader IP Core User Guide UG-01162 Subscribe The Error Message Register (EMR) Unloader IP core (altera unloader) reads and stores data from the hardened error

More information

Using Altera MAX Series as Microcontroller I/O Expanders

Using Altera MAX Series as Microcontroller I/O Expanders 2014.09.22 Using Altera MAX Series as Microcontroller I/O Expanders AN-265 Subscribe Many microcontroller and microprocessor chips limit the available I/O ports and pins to conserve pin counts and reduce

More information

TimeQuest Timing Analyzer Quick Start Tutorial

TimeQuest Timing Analyzer Quick Start Tutorial TimeQuest Timing Analyzer Quick Start Tutorial 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 9.1 Document Version: 1.1 Document Date: December 2009 UG-TMQSTANZR-1.1 Copyright

More information

USB-Blaster Download Cable User Guide

USB-Blaster Download Cable User Guide USB-Blaster Download Cable User Guide Subscribe UG-USB81204 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents Introduction to USB-Blaster Download Cable...1-1 USB-Blaster Revision...1-1

More information

Using the Altera Serial Flash Loader Megafunction with the Quartus II Software

Using the Altera Serial Flash Loader Megafunction with the Quartus II Software Using the Altera Flash Loader Megafunction with the Quartus II Software AN-370 Subscribe The Altera Flash Loader megafunction IP core is an in-system programming (ISP) solution for Altera serial configuration

More information

Quartus II Software and Device Support Release Notes Version 15.0

Quartus II Software and Device Support Release Notes Version 15.0 2015.05.04 Quartus II Software and Device Support Release Notes Version 15.0 RN-01080-15.0.0 Subscribe This document provides late-breaking information about the Altera Quartus II software release version

More information

PROFINET IRT: Getting Started with The Siemens CPU 315 PLC

PROFINET IRT: Getting Started with The Siemens CPU 315 PLC PROFINET IRT: Getting Started with The Siemens CPU 315 PLC AN-674 Application Note This document shows how to demonstrate a working design using the PROFINET isochronous real-time (IRT) device firmware.

More information

Quartus II Handbook Volume 3: Verification

Quartus II Handbook Volume 3: Verification Quartus II Handbook Volume 3: Verification Subscribe QII5V3 2015.05.04 101 Innovation Drive San Jose, CA 95134 www.altera.com Simulating Altera Designs 1 2015.05.04 QII5V3 Subscribe This document describes

More information

USB-Blaster II Download Cable User Guide

USB-Blaster II Download Cable User Guide USB-Blaster II Download Cable User Guide Subscribe UG-01150 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents Setting Up the USB-Blaster II Download Cable...1-1 Supported Devices and

More information

Qsys System Design Tutorial

Qsys System Design Tutorial 2015.05.04 TU-01006 Subscribe This tutorial introduces you to the Qsys system integration tool available with the Quartus II software. This tutorial shows you how to design a system that uses various test

More information

Using the On-Chip Signal Quality Monitoring Circuitry (EyeQ) Feature in Stratix IV Transceivers

Using the On-Chip Signal Quality Monitoring Circuitry (EyeQ) Feature in Stratix IV Transceivers Using the On-Chip Signal Quality Monitoring Circuitry (EyeQ) Feature in Stratix IV Transceivers AN-605-1.2 Application Note This application note describes how to use the on-chip signal quality monitoring

More information

EthernetBlaster Communications Cable User Guide

EthernetBlaster Communications Cable User Guide EthernetBlaster Communications Cable User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 80 Document Version: 1.1 Document Date: July 2008 Copyright 2008 Altera Corporation.

More information

Transceiver Signal Integrity Development Kit, Stratix IV GT Edition User Guide

Transceiver Signal Integrity Development Kit, Stratix IV GT Edition User Guide Transceiver Signal Integrity Development Kit, Stratix IV GT Edition User Guide Transceiver Signal Integrity Development Kit, 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01077-1.2 Subscribe

More information

Using the Agilent 3070 Tester for In-System Programming in Altera CPLDs

Using the Agilent 3070 Tester for In-System Programming in Altera CPLDs Using the Agilent 3070 Tester for In-System Programming in Altera CPLDs AN-628-1.0 Application Note This application note describes how to use the Agilent 3070 test system to achieve faster programming

More information

Quartus Prime Standard Edition Handbook Volume 3: Verification

Quartus Prime Standard Edition Handbook Volume 3: Verification Quartus Prime Standard Edition Handbook Volume 3: Verification Subscribe QPS5V3 101 Innovation Drive San Jose, CA 95134 www.altera.com Simulating Altera Designs 1 QPS5V3 Subscribe This document describes

More information

AN 588: 10-Gbps Ethernet Hardware Demonstration Reference Designs

AN 588: 10-Gbps Ethernet Hardware Demonstration Reference Designs AN 588: 10-Gbps Ethernet Hardware Demonstration Reference Designs December 2009 AN-588-1.1 The reference designs demonstrate wire-speed operation of the Altera 10-Gbps Ethernet (10GbE) reference design

More information

Altera SoC Embedded Design Suite User Guide

Altera SoC Embedded Design Suite User Guide Altera SoC Embedded Design Suite User Guide Subscribe ug-1137 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents Introduction to SoC Embedded Design Suite... 1-1 Overview... 1-1 Linux

More information

USB-Blaster Download Cable User Guide

USB-Blaster Download Cable User Guide USB-Blaster Download Cable User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 9.0 Document Version: 2.5 Document Date: April 2009 UG-USB81204-2.5 P25-10325-03 Copyright

More information

Arria 10 Avalon-MM DMA Interface for PCIe Solutions

Arria 10 Avalon-MM DMA Interface for PCIe Solutions Arria 10 Avalon-MM DMA Interface for PCIe Solutions User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-01145_avmm_dma 101 Innovation Drive San Jose, CA 95134 www.altera.com Datasheet

More information

ChipScope Pro Tutorial

ChipScope Pro Tutorial ChipScope Pro Tutorial Using an IBERT Core with ChipScope Pro Analyzer Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the

More information

MAX II ISP Update with I/O Control & Register Data Retention

MAX II ISP Update with I/O Control & Register Data Retention MAX II ISP Update with I/O Control & Register Data Retention March 2006, ver 1.0 Application Note 410 Introduction MAX II devices support the real-time in-system mability (ISP) feature that allows you

More information

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Subscribe UG-01101 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Configuration via Protocol (CvP) Implementation

More information

Hybrid Memory Cube Controller IP Core User Guide

Hybrid Memory Cube Controller IP Core User Guide Hybrid Memory Cube Controller IP Core User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-01152 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 About the Altera Hybrid

More information

Quartus II Software Download and Installation Quick Start Guide

Quartus II Software Download and Installation Quick Start Guide Quartus II Software Download and Installation Quick Start Guide 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos

More information

Nios II Software Developer s Handbook

Nios II Software Developer s Handbook Nios II Software Developer s Handbook Nios II Software Developer s Handbook 101 Innovation Drive San Jose, CA 95134 www.altera.com NII5V2-13.1 2014 Altera Corporation. All rights reserved. ALTERA, ARRIA,

More information

Altera Advanced SEU Detection IP Core User Guide

Altera Advanced SEU Detection IP Core User Guide 2015.05.04 ALTADVSEU Subscribe The Altera Advanced SEU Detection IP core contains the following features: Hierarchy tagging Enables tagging of logical hierarchies and specifying their criticality relative

More information

15. Introduction to ALTMEMPHY IP

15. Introduction to ALTMEMPHY IP 15. Introduction to ALTMEMPHY IP Noember 2012 EMI_RM_013-1.2 EMI_RM_013-1.2 The Altera DDR,, and DDR3 SDRAM Controllers with ALTMEMPHY IP proide simplified interfaces to industry-standard DDR,, and DDR3

More information

Lab 1: Introduction to Xilinx ISE Tutorial

Lab 1: Introduction to Xilinx ISE Tutorial Lab 1: Introduction to Xilinx ISE Tutorial This tutorial will introduce the reader to the Xilinx ISE software. Stepby-step instructions will be given to guide the reader through generating a project, creating

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

Quartus II Introduction Using VHDL Design

Quartus II Introduction Using VHDL Design Quartus II Introduction Using VHDL Design This tutorial presents an introduction to the Quartus R II CAD system. It gives a general overview of a typical CAD flow for designing circuits that are implemented

More information

December 2002, ver. 1.0 Application Note 285. This document describes the Excalibur web server demonstration design and includes the following topics:

December 2002, ver. 1.0 Application Note 285. This document describes the Excalibur web server demonstration design and includes the following topics: Excalibur Web Server Demonstration December 2002, ver. 1.0 Application Note 285 Introduction This document describes the Excalibur web server demonstration design and includes the following topics: Design

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Engineering Change Order (ECO) Support in Programmable Logic Design

Engineering Change Order (ECO) Support in Programmable Logic Design White Paper Engineering Change Order (ECO) Support in Programmable Logic Design A major benefit of programmable logic is that it accommodates changes to the system specification late in the design cycle.

More information

MAX 10 Analog to Digital Converter User Guide

MAX 10 Analog to Digital Converter User Guide MAX 10 Analog to Digital Converter User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-M10ADC 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents MAX 10 Analog to

More information

Introduction to the Altera Qsys System Integration Tool. 1 Introduction. For Quartus II 12.0

Introduction to the Altera Qsys System Integration Tool. 1 Introduction. For Quartus II 12.0 Introduction to the Altera Qsys System Integration Tool For Quartus II 12.0 1 Introduction This tutorial presents an introduction to Altera s Qsys system inegration tool, which is used to design digital

More information

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit 1 Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENT OF FOR THE DEGREE IN Bachelor of Technology In Electronics and Communication

More information

DE4 NetFPGA Packet Generator Design User Guide

DE4 NetFPGA Packet Generator Design User Guide DE4 NetFPGA Packet Generator Design User Guide Revision History Date Comment Author 01/30/2012 Initial draft Harikrishnan Contents 1. Introduction... 4 2. System Requirements... 4 3. Installing DE4 NetFPGA

More information

8B10B Encoder/Decoder MegaCore Function User Guide

8B10B Encoder/Decoder MegaCore Function User Guide 8B10B Encoder/Decoder MegaCore Function User Guide 8B10B Encoder/Decoder MegaCore Function User Guide c The 8B10B Encoder/Decoder MegaCore function is scheduled for product obsolescence and discontinued

More information

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces White Paper Introduction The DDR3 SDRAM memory architectures support higher bandwidths with bus rates of 600 Mbps to 1.6 Gbps (300 to 800 MHz), 1.5V operation for lower power, and higher densities of 2

More information

Video and Image Processing Suite

Video and Image Processing Suite Video and Image Processing Suite January 2006, Version 6.1 Errata Sheet This document addresses known errata and documentation issues for the MegaCore functions in the Video and Image Processing Suite,

More information

13. Publishing Component Information to Embedded Software

13. Publishing Component Information to Embedded Software February 2011 NII52018-10.1.0 13. Publishing Component Information to Embedded Software NII52018-10.1.0 This document describes how to publish SOPC Builder component information for embedded software tools.

More information

Lesson 1 - Creating a Project

Lesson 1 - Creating a Project Lesson 1 - Creating a Project The goals for this lesson are: Create a project A project is a collection entity for an HDL design under specification or test. Projects ease interaction with the tool and

More information

Nios II System Architect Design Tutorial

Nios II System Architect Design Tutorial Nios II System Architect Design Nios II System Architect Design 101 Innovation Drive San Jose, CA 95134 www.altera.com TU-01004-2.0 Document last updated for Altera Complete Design Suite version: Document

More information

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Embedded Processor Hardware Design January 29 th 2015. VIVADO TUTORIAL 1 Table of Contents Requirements... 3 Part 1:

More information

For Quartus II Software. This Quick Start Guide will show you. how to set up a Quartus. enter timing requirements, and

For Quartus II Software. This Quick Start Guide will show you. how to set up a Quartus. enter timing requirements, and Quick Start Guide For Quartus II Software This Quick Start Guide will show you how to set up a Quartus II project, enter timing requirements, and compile the design into an Altera device. 1 Three-Step

More information

ISP Engineering Kit Model 300

ISP Engineering Kit Model 300 TM ISP Engineering Kit Model 300 December 2013 Model 300 Overview The Model 300 programmer supports JTAG programming of all Lattice devices that feature non-volatile configuration elements. The Model 300

More information

Avalon Interface Specifications

Avalon Interface Specifications Avalon Interface Specifications Subscribe MNL-AVABUSREF 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents 1. Introduction to the Avalon Interface Specifications... 1-1 1.1 Avalon Properties

More information

USER GUIDE. Ethernet Configuration Guide (Lantronix) P/N: 2900-300321 Rev 6

USER GUIDE. Ethernet Configuration Guide (Lantronix) P/N: 2900-300321 Rev 6 KRAMER ELECTRONICS LTD. USER GUIDE Ethernet Configuration Guide (Lantronix) P/N: 2900-300321 Rev 6 Contents 1 Connecting to the Kramer Device via the Ethernet Port 1 1.1 Connecting the Ethernet Port Directly

More information

Fastest Path to Your Design. Quartus Prime Software Key Benefits

Fastest Path to Your Design. Quartus Prime Software Key Benefits Q UA R T U S P R I M E D E S I G N S O F T WA R E Fastest Path to Your Design Quartus Prime software is number one in performance and productivity for FPGA, CPLD, and SoC designs, providing the fastest

More information

Altera Fault Injection IP Core User Guide

Altera Fault Injection IP Core User Guide 2015.12.15 UG-01173 Subscribe The Altera Fault Injection IP core injects errors into the configuration RAM (CRAM) of an FPGA device. This procedure simulates soft errors that can occur during normal operation

More information

White Paper Using LEDs as Light-Level Sensors and Emitters

White Paper Using LEDs as Light-Level Sensors and Emitters White Paper Using LEDs as Light-Level Sensors and Emitters Modulating LED power based on ambient light level increases battery life, a particularly helpful feature in a device where battery life is measured

More information

MorphIO: An I/O Reconfiguration Solution for Altera Devices

MorphIO: An I/O Reconfiguration Solution for Altera Devices White Paper MorphIO: An I/O Reconfiguration Solution for Altera Devices Introduction Altera developed the MorphIO software to help designers use the I/O reconfiguration feature in Altera devices. It is

More information

FPGAs for High-Performance DSP Applications

FPGAs for High-Performance DSP Applications White Paper FPGAs for High-Performance DSP Applications This white paper compares the performance of DSP applications in Altera FPGAs with popular DSP processors as well as competitive FPGA offerings.

More information

Introduction to the Quartus II Software. Version 10.0

Introduction to the Quartus II Software. Version 10.0 Introduction to the Quartus II Software Version 10.0 Introduction to the Quartus II Software Altera Corporation 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Introduction to the

More information

Quick Start Guide. MRB-KW01 Development Platform Radio Utility Application Demo MODULAR REFERENCE BOARD

Quick Start Guide. MRB-KW01 Development Platform Radio Utility Application Demo MODULAR REFERENCE BOARD Quick Start Guide MRB-KW01 Development Platform Radio Utility Application Demo MODULAR REFERENCE BOARD Quick Start Guide Get to Know the MRB-KW01x Module UART Selector ANT 1 RFIO (TX/RX) USB 2.0 Serial

More information

Accounting Manager. User Guide A31003-P1030-U114-2-7619

Accounting Manager. User Guide A31003-P1030-U114-2-7619 Accounting Manager User Guide A31003-P1030-U114-2-7619 Our Quality and Environmental Management Systems are implemented according to the requirements of the ISO9001 and ISO14001 standards and are certified

More information

9. Configuration, Design Security, and Remote System Upgrades in the Cyclone III Device Family

9. Configuration, Design Security, and Remote System Upgrades in the Cyclone III Device Family August 2012 CIII51016-2.2 9. Configuration, Design Security, and Remote System Upgrades in the Cyclone III Device Family CIII51016-2.2 This chapter describes the configuration, design security, and remote

More information

Transceiver Signal Integrity Development Kit, Stratix IV GX Edition User Guide

Transceiver Signal Integrity Development Kit, Stratix IV GX Edition User Guide Transceiver Signal Integrity Development Kit, Stratix IV GX Edition User Guide Transceiver Signal Integrity Development Kit, 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01057-2.1 Subscribe

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design Applying the Benefits of on a Chip Architecture to FPGA System Design WP-01149-1.1 White Paper This document describes the advantages of network on a chip (NoC) architecture in Altera FPGA system design.

More information

MAX 10 FPGA Configuration User Guide

MAX 10 FPGA Configuration User Guide MAX 10 FPGA Configuration User Guide Subscribe UG-M10CONFIG 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents MAX 10 FPGA Configuration Overview... 1-1 MAX 10 FPGA Configuration Schemes

More information

TRILOGI 5.3 PLC Ladder Diagram Programmer and Simulator. A tutorial prepared for IE 575 by Dr. T.C. Chang. Use On-Line Help

TRILOGI 5.3 PLC Ladder Diagram Programmer and Simulator. A tutorial prepared for IE 575 by Dr. T.C. Chang. Use On-Line Help TRILOGI 5.3 PLC Ladder Diagram Programmer and Simulator A tutorial prepared for IE 575 by Dr. T.C. Chang 1 Use On-Line Help Use on-line help for program editing and TBasic function definitions. 2 Open

More information

MAX II Development Kit Getting Started User Guide

MAX II Development Kit Getting Started User Guide MAX II Development Kit Getting Started User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com P25-10351-01 Development Kit Version: 1.1.0 Document Version: 1.1.0 Document Date:

More information

TCP/IP MODULE CA-ETHR-A INSTALLATION MANUAL

TCP/IP MODULE CA-ETHR-A INSTALLATION MANUAL TCP/IP MODULE CA-ETHR-A INSTALLATION MANUAL w w w. c d v g r o u p. c o m CA-ETHR-A: TCP/IP Module Installation Manual Page Table of Contents Introduction...5 Hardware Components... 6 Technical Specifications...

More information

White Paper FPGA Performance Benchmarking Methodology

White Paper FPGA Performance Benchmarking Methodology White Paper Introduction This paper presents a rigorous methodology for benchmarking the capabilities of an FPGA family. The goal of benchmarking is to compare the results for one FPGA family versus another

More information

PCI Express High Performance Reference Design

PCI Express High Performance Reference Design 2015.10.13 AN-456-2.4 Subscribe The PCI Express High-Performance Reference Design highlights the performance of the Altera s PCI Express products. The design includes a high-performance chaining direct

More information

WNMS Mobile Application

WNMS Mobile Application WNMS Mobile Application User s Guide Revision 1.0 18 October 2013 Copyright 2013 Deliberant www.deliberant.com Copyright 2013 Deliberant This user s guide and the software described in it are copyrighted

More information

Software User Guide UG-461

Software User Guide UG-461 Software User Guide UG-461 One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com ezlinx icoupler Isolated Interface Development Environment

More information

MAX 10 Clocking and PLL User Guide

MAX 10 Clocking and PLL User Guide MAX 10 Clocking and PLL User Guide Subscribe UG-M10CLKPLL 2015.11.02 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents MAX 10 Clocking and PLL Overview... 1-1 Clock Networks Overview...

More information

1. Overview of Nios II Embedded Development

1. Overview of Nios II Embedded Development January 2014 NII52001-13.1.0 1. Overview o Nios II Embedded Development NII52001-13.1.0 The Nios II Sotware Developer s Handbook provides the basic inormation needed to develop embedded sotware or the

More information

LatticeECP3 High-Speed I/O Interface

LatticeECP3 High-Speed I/O Interface April 2013 Introduction Technical Note TN1180 LatticeECP3 devices support high-speed I/O interfaces, including Double Data Rate (DDR) and Single Data Rate (SDR) interfaces, using the logic built into the

More information

Nios II Development Kit Version 5.1 SP1 Release Notes

Nios II Development Kit Version 5.1 SP1 Release Notes Nios II Development Kit Version 5.1 SP1 Release Notes January 2006 Release Notes Overview This document lists the release notes for the Nios II Development Kit version 5.1 Service Pack 1. Release notes

More information

1. Overview of Nios II Embedded Development

1. Overview of Nios II Embedded Development May 2011 NII52001-11.0.0 1. Overview o Nios II Embedded Development NII52001-11.0.0 The Nios II Sotware Developer s Handbook provides the basic inormation needed to develop embedded sotware or the Altera

More information

OTU2 I.7 FEC IP Core (IP-OTU2EFECI7Z) Data Sheet

OTU2 I.7 FEC IP Core (IP-OTU2EFECI7Z) Data Sheet OTU2 I.7 FEC IP Core (IP-OTU2EFECI7Z) Data Sheet Revision 0.02 Release Date 2015-02-24 Document number TD0382 . All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX

More information

GETTING STARTED GUIDE. 1.3 September 2015 3725-10306-001D. Polycom RealAccess

GETTING STARTED GUIDE. 1.3 September 2015 3725-10306-001D. Polycom RealAccess GETTING STARTED GUIDE 1.3 September 2015 3725-10306-001D Polycom RealAccess Copyright 2015, Polycom, Inc. All rights reserved. No part of this document may be reproduced, translated into another language

More information

Using Flow Control with the HEAD Recorder

Using Flow Control with the HEAD Recorder 03/15 Using with the HEAD Recorder The HEAD Recorder is a data acquisition software program that features an editable Flow Control function. This function allows complex program sequences to be predefined,

More information

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED www.analog.com www.hittite.com THIS PAGE INTENTIONALLY LEFT BLANK PLL & PLL with Integrated VCO Evaluation

More information

Back-up Server DOC-OEMSPP-S/2014-BUS-EN-10/12/13

Back-up Server DOC-OEMSPP-S/2014-BUS-EN-10/12/13 Back-up Server DOC-OEMSPP-S/2014-BUS-EN-10/12/13 The information contained in this guide is not of a contractual nature and may be subject to change without prior notice. The software described in this

More information

StarWind iscsi SAN Software: Installing StarWind on Windows Server 2008 R2 Server Core

StarWind iscsi SAN Software: Installing StarWind on Windows Server 2008 R2 Server Core StarWind iscsi SAN Software: Installing StarWind on Windows Server 2008 R2 Server Core www.starwindsoftware.com Copyright 2008-2011. All rights reserved. COPYRIGHT Copyright 2008-2011. All rights reserved.

More information

BitBlaster Serial Download Cable

BitBlaster Serial Download Cable BitBlaster Serial Download Cable February 2002, ver. 4.3 Data Sheet Features Allows PC and UNIX workstation users to perform the following functions: Program MAX 9000, MAX 7000S, MAX 7000A, and MAX 3000A

More information

StarWind iscsi SAN Software: Using an existing SAN for configuring High Availability storage with Windows Server 2003 and 2008

StarWind iscsi SAN Software: Using an existing SAN for configuring High Availability storage with Windows Server 2003 and 2008 StarWind iscsi SAN Software: Using an existing SAN for configuring High Availability storage with Windows Server 2003 and 2008 www.starwindsoftware.com Copyright 2008-2011. All rights reserved. COPYRIGHT

More information

Software Version 10.0d. 1991-2011 Mentor Graphics Corporation All rights reserved.

Software Version 10.0d. 1991-2011 Mentor Graphics Corporation All rights reserved. ModelSim Tutorial Software Version 10.0d 1991-2011 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation. The original recipient

More information

genie app and genie mobile app

genie app and genie mobile app genie app and genie mobile app User Manual 350 East Plumeria Drive San Jose, CA 95134 USA June 2012 202-10933-02 v1.0 2012 NETGEAR, Inc. All rights reserved No part of this publication may be reproduced,

More information

Creating a Project with PSoC Designer

Creating a Project with PSoC Designer Creating a Project with PSoC Designer PSoC Designer is two tools in one. It combines a full featured integrated development environment (IDE) with a powerful visual programming interface. The two tools

More information

HP Intelligent Management Center v7.1 Virtualization Monitor Administrator Guide

HP Intelligent Management Center v7.1 Virtualization Monitor Administrator Guide HP Intelligent Management Center v7.1 Virtualization Monitor Administrator Guide Abstract This guide describes the Virtualization Monitor (vmon), an add-on service module of the HP Intelligent Management

More information

Nios II IDE Help System

Nios II IDE Help System Nios II IDE Help System 101 Innovation Drive San Jose, CA 95134 www.altera.com Nios II IDE Version: 9.0 Document Version: 1.7 Document Date: March 2009 UG-N2IDEHELP-1.7 Table Of Contents About This Document...1

More information

CSE467: Project Phase 1 - Building the Framebuffer, Z-buffer, and Display Interfaces

CSE467: Project Phase 1 - Building the Framebuffer, Z-buffer, and Display Interfaces CSE467: Project Phase 1 - Building the Framebuffer, Z-buffer, and Display Interfaces Vincent Lee, Mark Wyse, Mark Oskin Winter 2015 Design Doc Due Saturday Jan. 24 @ 11:59pm Design Review Due Tuesday Jan.

More information

11.1. Performance Monitoring

11.1. Performance Monitoring 11.1. Performance Monitoring Windows Reliability and Performance Monitor combines the functionality of the following tools that were previously only available as stand alone: Performance Logs and Alerts

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

Altera Software Licensing

Altera Software Licensing Altera Software Licensing March 2009 AN-340-2.3 Introduction This document describes options for licensing Altera software and the steps required for licensing: obtain a license file, set it up, and specify

More information

SUPER SMC LCD. User's Guide. Revision 1.0

SUPER SMC LCD. User's Guide. Revision 1.0 SUPER SMC LCD User's Guide Revision 1.0 The information in this User s Manual has been carefully reviewed and is believed to be accurate. The vendor assumes no responsibility for any inaccuracies that

More information

Evaluator s Guide. PC-Duo Enterprise HelpDesk v5.0. Copyright 2006 Vector Networks Ltd and MetaQuest Software Inc. All rights reserved.

Evaluator s Guide. PC-Duo Enterprise HelpDesk v5.0. Copyright 2006 Vector Networks Ltd and MetaQuest Software Inc. All rights reserved. Evaluator s Guide PC-Duo Enterprise HelpDesk v5.0 Copyright 2006 Vector Networks Ltd and MetaQuest Software Inc. All rights reserved. All third-party trademarks are the property of their respective owners.

More information

Interworks. Interworks Cloud Platform Installation Guide

Interworks. Interworks Cloud Platform Installation Guide Interworks Interworks Cloud Platform Installation Guide Published: March, 2014 This document contains information proprietary to Interworks and its receipt or possession does not convey any rights to reproduce,

More information

Sage HRMS 2014 Sage Employee Self Service Tech Installation Guide for Windows 2003, 2008, and 2012. October 2013

Sage HRMS 2014 Sage Employee Self Service Tech Installation Guide for Windows 2003, 2008, and 2012. October 2013 Sage HRMS 2014 Sage Employee Self Service Tech Installation Guide for Windows 2003, 2008, and 2012 October 2013 This is a publication of Sage Software, Inc. Document version: October 17, 2013 Copyright

More information

Transmitter Interface Program

Transmitter Interface Program Transmitter Interface Program Operational Manual Version 3.0.4 1 Overview The transmitter interface software allows you to adjust configuration settings of your Max solid state transmitters. The following

More information

Test Center Enterprise. ios Device Onboarding Guide

Test Center Enterprise. ios Device Onboarding Guide Test Center Enterprise ios Device Onboarding Guide Copyright Copyright 2012 Keynote DeviceAnywhere. All Rights Reserved. March 2012. Notice 2012 Keynote DeviceAnywhere. All rights reserved. THE INFORMATION

More information

NETGEAR genie Apps. User Manual. 350 East Plumeria Drive San Jose, CA 95134 USA. August 2012 202-10933-04 v1.0

NETGEAR genie Apps. User Manual. 350 East Plumeria Drive San Jose, CA 95134 USA. August 2012 202-10933-04 v1.0 User Manual 350 East Plumeria Drive San Jose, CA 95134 USA August 2012 202-10933-04 v1.0 Support Thank you for choosing NETGEAR. To register your product, get the latest product updates, get support online,

More information