White Paper Streaming Multichannel Uncompressed Video in the Broadcast Environment



Similar documents
White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces

White Paper 40-nm FPGAs and the Defense Electronic Design Organization

December 2002, ver. 1.0 Application Note 285. This document describes the Excalibur web server demonstration design and includes the following topics:

Using the On-Chip Signal Quality Monitoring Circuitry (EyeQ) Feature in Stratix IV Transceivers

Video and Image Processing Suite

White Paper Increase Flexibility in Layer 2 Switches by Integrating Ethernet ASSP Functions Into FPGAs

High-Speed SERDES Interfaces In High Value FPGAs

FPGAs for High-Performance DSP Applications

Using Altera MAX Series as Microcontroller I/O Expanders

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

White Paper Military Productivity Factors in Large FPGA Designs

Enhancing High-Speed Telecommunications Networks with FEC

White Paper FPGA Performance Benchmarking Methodology

Technical Brief. DualNet with Teaming Advanced Networking. October 2006 TB _v02

White Paper Video Surveillance Implementation Using FPGAs

White Paper Understanding Metastability in FPGAs

Networking Remote-Controlled Moving Image Monitoring System

BROADCASTING AT A WHOLE NEW LEVEL

XMC Modules. XMC-6260-CC 10-Gigabit Ethernet Interface Module with Dual XAUI Ports. Description. Key Features & Benefits

Implementing a Multirate Uncompressed Video Interface for Broadcast Applications

A-V Studio Solutions for DTV

Nios II-Based Intellectual Property Camera Design

Building an IP Surveillance Camera System with a Low-Cost FPGA

13. Publishing Component Information to Embedded Software

A Scalable Large Format Display Based on Zero Client Processor

K2 System Ready for Nonlinear Media Production: QOS, Bandwidth and File Compatibility in File-based Workflows

PCI Express* Ethernet Networking

General Pipeline System Setup Information

Engineering Change Order (ECO) Support in Programmable Logic Design

Using Pre-Emphasis and Equalization with Stratix GX

White Paper Video and Image Processing Design Using FPGAs

The Bus (PCI and PCI-Express)

PCI Express IO Virtualization Overview

USB-Blaster Download Cable User Guide

Intel Data Direct I/O Technology (Intel DDIO): A Primer >

In-System Programmability

Qsys and IP Core Integration

White Paper Reduce Total System Cost in Portable Applications Using Zero-Power CPLDs

DE4 NetFPGA Packet Generator Design User Guide

ADVANTAGES OF AV OVER IP. EMCORE Corporation

Figure 1 FPGA Growth and Usage Trends

MP3 Player CSEE 4840 SPRING 2010 PROJECT DESIGN.

Introduction to PCI Express Positioning Information

Accelerating High-Speed Networking with Intel I/O Acceleration Technology

Technical Brief. Quadro FX 5600 SDI and Quadro FX 4600 SDI Graphics to SDI Video Output. April 2008 TB _v01

White Paper Using the Intel Flash Memory-Based EPC4, EPC8 & EPC16 Devices

MasterBlaster Serial/USB Communications Cable User Guide

APRIL 2010 HIGH PERFORMANCE NETWORK SECURITY APPLIANCES

Video Conference System

Fiber Channel Over Ethernet (FCoE)

Post-production Video Editing Solution Guide with Microsoft SMB 3 File Serving AssuredSAN 4000

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai Jens Onno Krah

Evaluation Report: Emulex OCe GbE and OCe GbE Adapter Comparison with Intel X710 10GbE and XL710 40GbE Adapters

White Paper Using LEDs as Light-Level Sensors and Emitters

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

PROFINET IRT: Getting Started with The Siemens CPU 315 PLC

MAX II ISP Update with I/O Control & Register Data Retention

High Definition Broadcast Server BROADCAST

Welcome to Pericom s PCIe and USB3 ReDriver/Repeater Product Training Module.

Contents. Connection Guide. What is Dante? Connections Network Set Up System Examples Copyright 2015 ROLAND CORPORATION

Three Key Design Considerations of IP Video Surveillance Systems

What is a System on a Chip?

Windows Embedded Security and Surveillance Solutions

1000-Channel IP System Architecture for DSS

How To Set Up & Manage an IPTV System WHITE PAPER

White Paper. Intel Sandy Bridge Brings Many Benefits to the PC/104 Form Factor

Building Content Distribution Platforms over Flexible Optical Networks

Portable Video Audio I/O Interface. Quality in a Box. For Mac/PC.

COPYRIGHT 2011 COPYRIGHT 2012 AXON DIGITAL DESIGN B.V. ALL RIGHTS RESERVED

Emerging Markets for H.264 Video Encoding

Portable Video Audio I/O Interface. For Mac/PC.

Performance Analysis and Software Optimization on Systems Using the LAN91C111

By Andrew Wilson, Editor

10/100/1000Mbps Ethernet MAC with Protocol Acceleration MAC-NET Core with Avalon Interface

Megapixel Surveillance

Avid ISIS

File System Design and Implementation

Computer Systems Structure Input/Output

NComputing L-Series LAN Deployment

The Shortcut Guide to Balancing Storage Costs and Performance with Hybrid Storage

Avalon Interface Specifications

High-Definition Video Reference Design (UDX4)

VIDEO AND THE WUSTL JANUARY 18, 2016

White Paper Selecting the Ideal FPGA Vendor for Military Programs

Enhance Service Delivery and Accelerate Financial Applications with Consolidated Market Data

SUBTITLING AND CLOSED CAPTION MANAGEMENT

White Paper Using FPGAs to Render Graphics and Drive LCD Interfaces

ALL-AIO-2321P ZERO CLIENT

21152 PCI-to-PCI Bridge

White Paper Broadcast Video Infrastructure Implementation Using FPGAs

A Safety Methodology for ADAS Designs in FPGAs

White Paper Building Flexible, Cost-Efficient Broadband Access Equipment Line Cards

Workflow Comparison: Time Tailor versus Non-Linear Editing Systems WHITE PAPER

Building Blocks for Rapid Communication System Development

Intel Ethernet Switch Load Balancing System Design Using Advanced Features in Intel Ethernet Switch Family

Intel PCI and PCI Express*

The proliferation of the raw processing

HOW PUBLIC INTERNET IS FINALLY READY FOR HD VIDEO BACKHAUL

The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links. Filippo Costa on behalf of the ALICE DAQ group

Switch Fabric Implementation Using Shared Memory

Transcription:

White Paper Multichannel Uncompressed in the Broadcast Environment Designing video equipment for streaming multiple uncompressed video signals is a new challenge, especially with the demand for high-definition video streams. This white paper examines how a multichannel streaming PCIe DMA controller and other building block IP cores are combined within a Cyclone IV GX FPGA to support SD- and HD-SDI applications using an open-source video packet streaming-format protocol such as those used in non-linear editors, video servers, and video-capture applications. Introduction The advent of highly integrated digital circuits and powerful processors enabled the digitization of video, which in turn brought about a revolution in the streaming of both compressed and uncompressed video. In addition, the digital video data rate is increasing to accommodate the wider bandwidth required for high-definition (HD) applications. These changes translate into a requirement for more signal processing power. Altera Cyclone IV GX FPGAs, with on-chip transceiver I/Os at speeds up to 3.125 Gbps, support the triple-rate serial digital interface (SDI) protocol from standard definition (SD) to HD at 1080p. Other video application protocols supported include Gigabit Ethernet (GbE), DisplayPort, and V-by-One (Vx1). Cyclone IV GX FPGAs also include an embedded PCI Express (PCIe) hard IP block that reduces FPGA resource usage and design time. Background video may involve either on-demand or live broadcast of compressed or uncompressed video. Most broadcast studio applications rely on uncompressed SDI to move video within the studio, typically between switchers, servers, and cameras, while compressed video streams are used in certain studio applications for video over Internet protocol. Uncompressed video streams provide low delay and provide for reduced compression artifacts. Compressed video may have more technical issues such as jitter, latency, and the loss of quality. streaming consists of three steps: 1. Convert the incoming SDI stream into the 20-bit parallel domain 2. Convert the parallel signal to another interface standard, such as PCIe or Ethernet 3. Assign the video stream to a final destination Broadcast Studio Trends The transition to HD, and now to 1080p using 3G-SDI, is one of the latest broadcast technology trends. Another is implementation of tapeless workflows that offer integrated production and content management for multichannel and multiplatform applications. A tapeless workflow can stream video from ingest to playout while relying on the integration of production video servers, central storage, and related production management software. A file-based workflow permits the development of a tapeless work environment. This solution provides flexibility and better management of ingested video as it is edited and finally uploaded to a broadcast server s playout device. A file-based system suits applications such as IP-based newsgathering, streaming to the Internet, and live and on-demand video streaming. For now, it appears that triple-rate SDI data will be the typical interface used in tapeless and file-based systems. In this scenario, the SDI video is converted to a file format that can be edited in a workstation. Figure 1 shows a PCIe bus used to receive and transmit the converted video stream to and from the SDI domain. WP-01121-1.0 March 2010, ver. 1.0 1

Multichannel Uncompressed in the Broadcast Environment Altera Corporation Figure 1. Tapeless and File-Based SDI Controller And and PCI PCIe Exp WorkStation SDI-PCIe bridging is used in video servers and video I/O cards for non-linear editing. The basic building blocks are combined to implement video streaming with the PCIe bus, and use SDI cable equalizers and drivers as the front-end interfaces. The core of the video streaming and processing resides in the center block, where the Cyclone IV GX FPGA is used to create a custom implementation for specific solution requirements. The PCIe bus interface can also reside in the same FPGA. -Server and -Capture Applications A video-server and video-capture I/O card share the same basic hardware-architecture front end, as shown in Figure 2. Depending on the manufacturer, the ingest and playout functions can be located on a single card or separate cards. Some server applications provide the option of encoding or decoding the raw video using H.264 or MPEG2-HD in the video processing block. Figure 2. SDI-PCIe Bridge User Apps WorkStation Main Board Storage PCIe x4,8 PCIe x4,8 PCIe Bus Interface PCIe Bus Interface DDR Ingest DDR Playout SDI Rx SDI Tx SDI Out SDI In The PCIe block provides the video-streaming capability for the converted SDI signal to feed the workstation. This block is often a bottleneck, especially when processing multiple 3G-SDI streams at the same time. Because a 3G-SDI full-duplex video streaming over a PCIe card with four lanes translates to a data rate of 3.375 Gbps, the PCIe block 2

Altera Corporation Multichannel Uncompressed in the Broadcast Environment must be highly efficient and must provide high quality of service. The Cyclone IV GX FPGA s PCIe hard IP block supports PCIe Gen1 with a x1, x2, or x4 lane option and with endpoint and rootport functionality. Because this functionality is unique to Cyclone IV GX FPGAs, no other low-cost FPGA on the market provides it. Implementing a 1080p SDI-PCI Express Bridge The PCIe architecture within a modern PC has more than sufficient bandwidth to transfer several 1080p60 video streams. The challenge for the designer is to utilize this bandwidth without placing excessive demands on either the CPU or the local storage on the PCIe capture card. The choice of a direct memory access (DMA) controller is therefore central to the success of the project. By packing three 20-bit pixels into two 32-bit words, an active video frame of 1920 pixels by 1080 lines use a little over 5.27 Mbytes. In an ideal world, the CPU creates a single DMA transfer that moves this video frame from the capture card into the system memory of the PC. Unfortunately, this transfer usually is impossible because system memory normally is allocated by the PC operating system in 4-Kbyte blocks, so there is no guarantee that a request for 5.27 Mbytes of memory will be stored in consecutive physical memory locations. As a result of this limitation, most DMA controllers designed for the PC architecture support a scatter/gather mode (Figure 3). In this mode, the CPU creates a linked list of DMA instructions, each of which transfers just 4 Kbytes. The DMA controller processes each segment of this list in turn, automatically fetching the next entry on the list as needed. By doing so, the controller can be programmed to cope with the PC s fragmented memory allocation and still place little overhead on the CPU. Figure 3. Scatter/Gather Mode One drawback of PCIe is that, due to its complexity, it has a higher latency than previous bus architectures. This is particularly true for read transactions where the requestor must issue a packet to the completer asking for the data, which the completer then returns. This transaction therefore accrues twice the PCIe link latency. Several mechanisms have been included in the design of PCIe to mitigate the effect of this latency, such as supporting large packet sizes and multiple outstanding read requests. If a DMA controller waits until the previous read completion is returned by the completer before issuing the following read request, the overall transfer performance from system memory to the capture card is poor. To improve this downstream efficiency, the DMA controller must support multiple outstanding read requests. This means that the DMA controller must always be looking ahead and issuing the following read requests, before the previous bus transaction has competed. In this way, the effects of the read latency on the overall bandwidth utilization are minimized. The effect is still present, however, for each individual read access, and is a particular issue for scatter/gather DMA controllers. It is no longer acceptable for controllers to wait until the end of a scatter/gather segment before fetching the next set of instructions, because to do so would once again lower the overall efficiency of the system. Waiting also increases the local storage required to hold the extra video data while the next element of the linked list is retrieved. DMA controllers supporting all of the required features are available as IP that can be fully integrated into the FPGA design flow. In addition, all of the blocks needed to build a 1080p SDI-PCIe bridge are available as IP cores from Altera and partner companies. Figure 4 illustrates the process of building a 1080p SDI-PCIe bridge using the SOPC Builder tool. 3

Multichannel Uncompressed in the Broadcast Environment Altera Corporation Figure 4. SOPC Builder Screenshot The streaming_dma_0 component, part of the complete Quartus design software schematic (Figure 5), includes both the complete PCIe interface and a scatter/gather DMA controller. The SOPC Builder environment uses Altera s Avalon (Avalon-ST) video interfaces to allow IP blocks from different vendors to communicate with each other. This SOPC Builder design is then connected to the SDI IP core to complete the hardware design (Figure 6). Figure 5. Complete Quartus Schematic Design 4

Altera Corporation Multichannel Uncompressed in the Broadcast Environment Figure 6. Complete Multichannel on a Chip SDI SDI SDI CORE Core 352 Insert Standard Detect MUX Clocked Out Clocked In Test Pattern Multichannel DMA Controller PCIe Hard Core PCIe Cyclone IV GX FPGA SOPC Tool Flow Conclusion The availability of video-specific development boards and their associated SDI daughter cards, IP cores, and user-friendly design tools turn a complex video-streaming system design into a much simpler task for the average engineer. In addition, free video reference designs from Altera can be used as starting points to create video-streaming application projects. Altera constantly adds to and updates its tools and solutions; contact your local Altera sales representative to find out about the latest releases. Further Information 3G-SDI: www.altera.com/end-markets/broadcast/3g-sdi/bro-3g-sdi.html Triple-Rate SDI Reference Design: www.altera.com/support/refdesigns/sys-sol/broadcast/ref-triple-rate-sdi.html SDI-PCIe Bridging Reference Design: www.altera.com/support/refdesigns/sys-sol/broadcast/ref-sdi-pcie.html Terasic SDI-HSMC Daughter Card: www.terasic.com.tw/cgi-bin/page/archive.pl?language=english&categoryno=68&no=343 Contact Altera: www.altera.com/corporate/contact/con-index.html Acknowledgements Tam Do, Senior Technical Marketing Manager, Communication Business Unit, Altera Corporation 101 Innovation Drive San Jose, CA 95134 www.altera.com Copyright 2010 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 5