AMC13 T1 Rev 2 Preliminary Design Review. E. Hazen Boston University E. Hazen - AMC13 T1 V2 1

Size: px
Start display at page:

Download "AMC13 T1 Rev 2 Preliminary Design Review. E. Hazen Boston University. 2012-10-30 E. Hazen - AMC13 T1 V2 1"

Transcription

1 13 T1 Rev 2 Preliminary Design Review E. Hazen Boston University E. Hazen - 13 T1 V2 1

2 Scope of this Review Background: 13 T1 board is being revised to support 10 GbE per request from CDAQ group These boards will be installed during LS1 in HCAL Prefer to keep compatibility with existing T2 For Today: Presentation of schematic-level changes Discussion of open technical issues which may affect T1 Agreement on what needs to be done to proceed with prototyping Not under discussion today: T2 or T3 board design Firmware / Software E. Hazen - 13 T1 V2 2

3 Documentation Please see (Rev2 link at the top) Schematic and layout preview posted N.B. Not all recent changes are included in the preview documents posted E. Hazen - 13 T1 V2 3

4 CMS MicroTCA Crate DAQ optical fibers (5/10 Gb/s) Fiber links from detector (i.e. GBT) TTC / TTS (160.xxx Mb/s) Power 13 Power MCH1 Ethernet Fiber links to trigger E. Hazen - 13 T1 V2 4

5 utca Ports Use for CMS MCH Fabric Port MCH 13 Category CMS Use Tongue 0 Yes GbE A 1 1 Yes Common DAQ [1] 2 Yes Options B 3 Yes Fast controls (TTC) [2] 2 TCLKA CLK1/2 Clock Clocks FCLKA CLK1/2 LHC Clock 4-7 Yes D-G Fat Pipes 8-11 Yes[2] 3, 4 User Extended H-K Fat Pipes Notes: 1. Fabric A (DAQ link) will be operated at 2.5 or 5.0Gb/s in standard 13 firmware This link is AC-coupled, CML level 2. Fabric B (TTC) is a non-mgt link operated at 80Mb/s in standard 13 firmware This link is DC-coupled, LVDS level E. Hazen - 13 T1 V2 5

6 13 Rev 2 Changes Virtex 6 to Kintex 7 for 10G link support Two-way GbE switch removed, GbE to Spartan chip only (Never used Virtex GbE option) SDRAM size increased from 128MB to 512MB speed to 800MHz DDR (1600MT/s * 16 bits) Clock source changes: Rev 1 used 2x Si570 programmable XO Rev 2 uses Si5338B Quad programmable clock generator TTC Recovered clock to clock-capable input on Kintex 7 Changes to T1-T2 board connector pinout Hope to maintain plug compatibility with existing connector Add 1-2 pins for additional 12V to be routed to T3 (agree, pending) There are two diff pairs unused (GPIO pairs) unused Is this sufficient? Furhter enlarging connector further is possible but disruptive, may not be compatible with existing T E. Hazen - 13 T1 V2 6

7 13 Module Rev 2 TTC in TTS out SFP CDS IO IO CLK F/O 40.xx CLK To s DAQ 10 Gb/s DAQ 10 Gb/s SFP+ SFP+ GTX GTX Kintex 7 GTX GTX GTX Fabric A (DAQ) 12 ports Gb/s Spare 10 G b/s SFP+ GTX GTX (2) 128Mx16 DDR3 MCH1 Front Panel via T3 GbE IPMI JTAG LEDs (4x GPIO) MMC uc IO GTP GTP Spartan 6 SPI Flash 1600MT/s (6.4 GB/s) Fabric B 80 Mb/s (TTC) DC LVDS E. Hazen - 13 T1 V2 7

8 SDRAM Update Rev 1: Rev 2: (1) MT41J64M16LA 64M x 16 DDR3 Tested at 1600 MT/s (800 MHz) (2) MT41J128M16JT M x 16 DDR3 Speed rated to 1866 MT/s (933 MHz) Plan to operate at 1600 MT/s (800 MHz) This part promised long-term availability by Micron factory rep E. Hazen - 13 T1 V2 8

9 New clock source E. Hazen - 13 T1 V2 9

10 T1-T2 connectors MMC T2 T1 SPI Bus Switch, LEDs Propose to add 1-2 pins PWR12V Connector can expand this way only GbE to T2 Spare GPIOs (4) 2.5Gb/s T1 T2 link T1 T2 TTC Data 40MHz clk JTAG / Config JTAG / Config E. Hazen - 13 T1 V2 10

11 Clocks: Rev 1 Tongue 1 Tongue 2 SFP 1300nm receiver (ATM type) Compatible with TTC fiber data ADN2814 Clock/data Recovery IC Recovered Clock 160MHz SY89832 Fanout T1 U3 160MHz x 4 Div Reset SY89872 Divide by 2/4 MGT CLK MGT CLK IO_L9 40MHz IO_L10 LVDS SY89832 Fanout T2 U23 DS91M125 1:4 Fanout 40MHz Clock To utca backplane M-LVDS T1 U2 Recovered Data 80 Mb/s Virtex 6 LVDS GCLK Spartan 6 LX130T 80Mb/sec TTC data To utca Backplane (Fabric B) E. Hazen - 13 T1 V2 11

12 Clocks: Rev 2? Tongue 1 Tongue 2 SFP 1300nm receiver (ATM type) Compatible with TTC fiber data ADN2814 Clock/data Recovery IC Recovered Clock 160MHz SY89832 Fanout T1 U3 160MHz x 4 Div Reset SY89872 Divide by 2/4 MGT CLK MGT CLK CC input IO_L10 40MHz LVDS Alternative T3 Clock input (solder SMT jumper) SY89832 Fanout T2 U23 DS91M125 1:4 Fanout 40MHz Clock To utca backplane M-LVDS T1 U2 Recovered Data 80 Mb/s Kintex-7 LVDS GCLK Add clock, TTC signals from T2 to T1 (existing, unused pairs) Spartan 6 LX130T 80Mb/sec TTC data To utca Backplane (Fabric B) E. Hazen - 13 T1 V2 12

13 Design Issue for Discussion... Fabric B is DC driven LVDS by 13 (TTC) Possible damage to FPGAs could result if an card is powered down while drivers are active Power up should be OK as drivers come up tri-stated, so it is the power-down case (for hot swap, i.e) at issue This was pointed out by T. Gorsky some time ago. Xilinx claims it is not a problem, but we would like to be conservative... Options: 1. Change to AC-coupling on, redefine protocol 2. Add discrete LVDS buffer on card* 3. Add series i.e. 10 ohm resistors to limit current on card This does not in principle affect the T1 board rev * my preferred solution E. Hazen - 13 T1 V2 13

14 Layout Snapshot Two 128Mx16 DDR3 SDRAM High-speed T1-T2 connector GbE, MGT Link JTAG / Config SFP (TTC) SFP (10Gb) SFP (10Gb) SFP (10Gb) XC7K325T-2 FPGA Low-speed T1-T2 connector (power, MMC signals) E. Hazen - 13 T1 V2 14

15 Top Components E. Hazen - 13 T1 V2 15

16 Bottom Components E. Hazen - 13 T1 V2 16

17 Other Design Notes MGT clock sources on Kintex-7 TTC 160MHz recovered clock 2 outputs from 5338 Quad clock generator (other two are global logic clock and SDRAM clock) This allows any configuration of link speeds we can conceive of E. Hazen - 13 T1 V2 17

18 Reserve Slides E. Hazen - 13 T1 V2 18

19 13 Rev 1 Hardware Atmel AVR-32 uc MMC Functions Tongue 3 PCB (optional, for initial programming) (4) SFP+ Sites 1 for TTC (160Mb) 3 for DAQ/etc 6.2Gb Tongue 2 PCB Micro USB MMC serial console Spartan 6 FPGA Fabric B TTC distribution Firmware management interface to MMC Virtex-6 LX130T FPGA DAQ Functions, buffering 6Gb links to backplane, SFP Tongue 1 PCB JTAG Headers MMC programming E. Hazen - 13 T1 V2 FPGA programming 19

The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links. Filippo Costa on behalf of the ALICE DAQ group

The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links. Filippo Costa on behalf of the ALICE DAQ group The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links Filippo Costa on behalf of the ALICE DAQ group DATE software 2 DATE (ALICE Data Acquisition and Test Environment) ALICE is a

More information

Open Flow Controller and Switch Datasheet

Open Flow Controller and Switch Datasheet Open Flow Controller and Switch Datasheet California State University Chico Alan Braithwaite Spring 2013 Block Diagram Figure 1. High Level Block Diagram The project will consist of a network development

More information

DKWF121 WF121-A 802.11 B/G/N MODULE EVALUATION BOARD

DKWF121 WF121-A 802.11 B/G/N MODULE EVALUATION BOARD DKWF121 WF121-A 802.11 B/G/N MODULE EVALUATION BOARD PRELIMINARY DATA SHEET Wednesday, 16 May 2012 Version 0.5 Copyright 2000-2012 Bluegiga Technologies All rights reserved. Bluegiga Technologies assumes

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Development of the electromagnetic calorimeter waveform digitizers for the Fermilab Muon g-2 experiment

Development of the electromagnetic calorimeter waveform digitizers for the Fermilab Muon g-2 experiment Development of the electromagnetic calorimeter waveform digitizers for the Fermilab g-2 experiment 1 on behalf of the Fermilab E989 g-2 Collaboration European Physical Society Conference on High Energy

More information

Nutaq. PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET. nutaq.com MONTREAL QUEBEC

Nutaq. PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET. nutaq.com MONTREAL QUEBEC Nutaq PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET QUEBEC I MONTREAL I N E W YO R K I nutaq.com Nutaq PicoDigitizer 125-Series The PicoDigitizer 125-Series

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

power rid B ge C o m p u t e r

power rid B ge C o m p u t e r power ridge B Computer powerbridge Computer Founded in 1993, Headquartered in Burgwedel / Hannover Distribution of computer boards and systems into telecom, industrial automation, traffic control, and

More information

TURBO PROGRAMMER USB, MMC, SIM DEVELOPMENT KIT

TURBO PROGRAMMER USB, MMC, SIM DEVELOPMENT KIT TURBO PROGRAMMER USB, MMC, SIM DEVELOPMENT KIT HARDWARE GUIDE This document is part of Turbo Programmer documentation. For Developer Documentation, Applications and Examples, see http:/// PRELIMINARY (C)

More information

XMC Modules. XMC-6260-CC 10-Gigabit Ethernet Interface Module with Dual XAUI Ports. Description. Key Features & Benefits

XMC Modules. XMC-6260-CC 10-Gigabit Ethernet Interface Module with Dual XAUI Ports. Description. Key Features & Benefits XMC-6260-CC 10-Gigabit Interface Module with Dual XAUI Ports XMC module with TCP/IP offload engine ASIC Dual XAUI 10GBASE-KX4 ports PCIe x8 Gen2 Description Acromag s XMC-6260-CC provides a 10-gigabit

More information

Pmod peripheral modules are powered by the host via the interface s power and ground pins.

Pmod peripheral modules are powered by the host via the interface s power and ground pins. Digilent Pmod Interface Specification Revision: November 20, 2011 1300 NE Henley Court, Suite 3 Pullman, WA 99163 (509) 334 6306 Voice (509) 334 6300 Fax Introduction The Digilent Pmod interface is used

More information

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor Von der Hardware zur Software in FPGAs mit Embedded Prozessoren Alexander Hahn Senior Field Application Engineer Lattice Semiconductor AGENDA Overview Mico32 Embedded Processor Development Tool Chain HW/SW

More information

SABRE Lite Development Kit

SABRE Lite Development Kit SABRE Lite Development Kit Freescale i.mx 6Quad ARM Cortex A9 processor at 1GHz per core 1GByte of 64-bit wide DDR3 @ 532MHz UART, USB, Ethernet, CAN, SATA, SD, JTAG, I2C Three Display Ports (RGB, LVDS

More information

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview Technical Note TN-29-06: NAND Flash Controller on Spartan-3 Overview Micron NAND Flash Controller via Xilinx Spartan -3 FPGA Overview As mobile product capabilities continue to expand, so does the demand

More information

CCS Hardware Test and Commissioning Plan

CCS Hardware Test and Commissioning Plan CCS Hardware Test and Commissioning Plan ECAL Off-Detector Electronics Workshop 7-8 April. 2005 Kostas Kloukinas CERN Overview CCS Development Status Production Plan CCS during Integration and Commissioning

More information

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Design of a High Speed Communications Link Using Field Programmable Gate Arrays Customer-Authored Application Note AC103 Design of a High Speed Communications Link Using Field Programmable Gate Arrays Amy Lovelace, Technical Staff Engineer Alcatel Network Systems Introduction A communication

More information

VPX Implementation Serves Shipboard Search and Track Needs

VPX Implementation Serves Shipboard Search and Track Needs VPX Implementation Serves Shipboard Search and Track Needs By: Thierry Wastiaux, Senior Vice President Interface Concept Defending against anti-ship missiles is a problem for which high-performance computing

More information

Sam440ep-flex User Guide

Sam440ep-flex User Guide Sam440ep-flex User Guide version 0.2 22 May 2009 Please check you local regulations for disposal of electronic devices ACube Systems S.r.l. Via Tabacco, 58-36061 Bassano del Grappa (VI) Italy tel. +39

More information

Chapter 5 Cubix XP4 Blade Server

Chapter 5 Cubix XP4 Blade Server Chapter 5 Cubix XP4 Blade Server Introduction Cubix designed the XP4 Blade Server to fit inside a BladeStation enclosure. The Blade Server features one or two Intel Pentium 4 Xeon processors, the Intel

More information

Product Brief. R7A-200 Processor Card. Rev 1.0

Product Brief. R7A-200 Processor Card. Rev 1.0 Product Brief R7A-200 Processor Card Rev 1.0 Order Codes for Default Configuration: 900-015-601 900-017-601 R7A-200 Broadcom XLR732 atca Processor/Switch Board with dual 1.0Ghz CPU's, 8GB DDR2 per XLR,

More information

Getting Started with the Xilinx Zynq- 7000 All Programmable SoC Mini-ITX Development Kit

Getting Started with the Xilinx Zynq- 7000 All Programmable SoC Mini-ITX Development Kit Getting Started with the Xilinx Zynq- 7000 All Programmable SoC Mini-ITX Development Kit Table of Contents ABOUT THIS GUIDE... 3 ADDITIONAL DOCUMENTATION... 3 ADDITIONAL SUPPORT RESOURCES... 3 INTRODUCTION...

More information

USB - FPGA MODULE (PRELIMINARY)

USB - FPGA MODULE (PRELIMINARY) DLP-HS-FPGA LEAD-FREE USB - FPGA MODULE (PRELIMINARY) APPLICATIONS: - Rapid Prototyping - Educational Tool - Industrial / Process Control - Data Acquisition / Processing - Embedded Processor FEATURES:

More information

Development. Igor Sheviakov Manfred Zimmer Peter Göttlicher Qingqing Xia. AGIPD Meeting 01-02 April, 2014

Development. Igor Sheviakov Manfred Zimmer Peter Göttlicher Qingqing Xia. AGIPD Meeting 01-02 April, 2014 Textmasterformat AGIPD Firmware/Software bearbeiten Igor Sheviakov Manfred Zimmer Peter Göttlicher Qingqing Xia AGIPD Meeting 01-02 April, 2014 Outline Textmasterformat bearbeiten Reminder: hardware set-up

More information

ATLAS Tile Calorimeter Readout Electronics Upgrade Program for the High Luminosity LHC

ATLAS Tile Calorimeter Readout Electronics Upgrade Program for the High Luminosity LHC ATLAS Tile Calorimeter Readout Electronics Upgrade Program for the High Luminosity LHC Augusto Santiago Cerqueira On behalf of the ATLAS Tile Calorimeter Group Federal University of Juiz de Fora, Brazil

More information

The following is a summary of the key features of the ARM Injector:

The following is a summary of the key features of the ARM Injector: Intended Use The ARM Injector is an indispensable tool for engineers who work with JTAG enabled target systems based on an ARM processor core with Debug and EmbeddedICE capability. The ARM Injector provides

More information

Silicon Seminar. Optolinks and Off Detector Electronics in ATLAS Pixel Detector

Silicon Seminar. Optolinks and Off Detector Electronics in ATLAS Pixel Detector Silicon Seminar Optolinks and Off Detector Electronics in ATLAS Pixel Detector Overview Requirements The architecture of the optical links for the ATLAS pixel detector ROD BOC Optoboard Requirements of

More information

RC2200DK Demonstration Kit User Manual

RC2200DK Demonstration Kit User Manual Demonstration Kit User Manual Table of contents TABLE OF CONTENTS... 1 QUICK INTRODUCTION... 2 INTRODUCTION... 3 DEMONSTRATION BOARD... 4 POWER SUPPLY SECTION... 5 RS-232 INTERFACE... 6 CONNECTORS... 7

More information

High speed pattern streaming system based on AXIe s PCIe connectivity and synchronization mechanism

High speed pattern streaming system based on AXIe s PCIe connectivity and synchronization mechanism High speed pattern streaming system based on AXIe s connectivity and synchronization mechanism By Hank Lin, Product Manager of ADLINK Technology, Inc. E-Beam (Electron Beam) lithography is a next-generation

More information

RoHS Compliant Copper Small Form Factor Pluggable (SFP) Transceiver for Gigabit Ethernet

RoHS Compliant Copper Small Form Factor Pluggable (SFP) Transceiver for Gigabit Ethernet RoHS Compliant Copper Small Form Factor Pluggable (SFP) Transceiver for Gigabit Ethernet Features Compatible with specifications for IEEE 802.3ab/Gigabit Ethernet Compliant with MSA specifications for

More information

A Gigabit Transceiver for Data Transmission in Future HEP Experiments and An overview of optoelectronics in HEP

A Gigabit Transceiver for Data Transmission in Future HEP Experiments and An overview of optoelectronics in HEP A Gigabit Transceiver for Data Transmission in Future HEP Experiments and An overview of optoelectronics in HEP Ken Wyllie, CERN 1 Outline Optoelectronics What? Why? How? Experience in HEP (LHC) & future

More information

ARM Cortex -A8 SBC with MIPI CSI Camera and Spartan -6 FPGA SBC1654

ARM Cortex -A8 SBC with MIPI CSI Camera and Spartan -6 FPGA SBC1654 ARM Cortex -A8 SBC with MIPI CSI Camera and Spartan -6 FPGA SBC1654 Features ARM Cortex-A8 processor, 800MHz Xilinx Spartan-6 FPGA expands vision processing capabilities Dual MIPI CSI-2 CMOS camera ports,

More information

HARDWARE MANUAL. BrightSign HD120, HD220, HD1020. BrightSign, LLC. 16795 Lark Ave., Suite 200 Los Gatos, CA 95032 408-852-9263 www.brightsign.

HARDWARE MANUAL. BrightSign HD120, HD220, HD1020. BrightSign, LLC. 16795 Lark Ave., Suite 200 Los Gatos, CA 95032 408-852-9263 www.brightsign. HARDWARE MANUAL BrightSign HD120, HD220, HD1020 BrightSign, LLC. 16795 Lark Ave., Suite 200 Los Gatos, CA 95032 408-852-9263 www.brightsign.biz TABLE OF CONTENTS OVERVIEW... 1 Block Diagram... 2 Ports...

More information

Data Sheet. Adaptive Design ltd. Arduino Dual L6470 Stepper Motor Shield V1.0. 20 th November 2012. L6470 Stepper Motor Shield

Data Sheet. Adaptive Design ltd. Arduino Dual L6470 Stepper Motor Shield V1.0. 20 th November 2012. L6470 Stepper Motor Shield Arduino Dual L6470 Stepper Motor Shield Data Sheet Adaptive Design ltd V1.0 20 th November 2012 Adaptive Design ltd. Page 1 General Description The Arduino stepper motor shield is based on L6470 microstepping

More information

RCC2 Test Proceedures

RCC2 Test Proceedures RCC2 Test Proceedures 1. Power-up Test 1. install board in VME crate (6U style or 6U section of 9U) and turn on power 2. check that cfg LED (D4) on front panel and D3 LED on board near U47 are lit (red)

More information

Cloud-Based Apps Drive the Need for Frequency-Flexible Clock Generators in Converged Data Center Networks

Cloud-Based Apps Drive the Need for Frequency-Flexible Clock Generators in Converged Data Center Networks Cloud-Based Apps Drive the Need for Frequency-Flexible Generators in Converged Data Center Networks Introduction By Phil Callahan, Senior Marketing Manager, Timing Products, Silicon Labs Skyrocketing network

More information

Kirchhoff Institute for Physics Heidelberg

Kirchhoff Institute for Physics Heidelberg Kirchhoff Institute for Physics Heidelberg Norbert Abel FPGA: (re-)configuration and embedded Linux 1 Linux Front-end electronics based on ADC and digital signal processing Slow control implemented as

More information

WICE-SPI Hardware Operation Manual

WICE-SPI Hardware Operation Manual Contents 1.Hardware Instruction...1 2. Pin Definition Of WICE-SPI Connector...2 3. Peripheral Circuit Arrangements...3 4. On-Board Programming...4 5. Off-Line Programming...8 1.Hardware Instruction 1.WICE-SPI

More information

POCKET SCOPE 2. The idea 2. Design criteria 3

POCKET SCOPE 2. The idea 2. Design criteria 3 POCKET SCOPE 2 The idea 2 Design criteria 3 Microcontroller requirements 3 The microcontroller must have speed. 3 The microcontroller must have RAM. 3 The microcontroller must have secure Flash. 3 The

More information

JTAG-HS2 Programming Cable for Xilinx FPGAs. Overview. Revised January 22, 2015 This manual applies to the HTAG-HS2 rev. A

JTAG-HS2 Programming Cable for Xilinx FPGAs. Overview. Revised January 22, 2015 This manual applies to the HTAG-HS2 rev. A 1300 Henley Court Pullman, WA 99163 509.334.6306 www.digilentinc.com Programming Cable for Xilinx FPGAs Revised January 22, 2015 This manual applies to the HTAG-HS2 rev. A Overview The Joint Test Action

More information

Welcome to Pericom s PCIe and USB3 ReDriver/Repeater Product Training Module.

Welcome to Pericom s PCIe and USB3 ReDriver/Repeater Product Training Module. Welcome to Pericom s PCIe and USB3 ReDriver/Repeater Product Training Module. 1 Pericom has been a leader in providing Signal Integrity Solutions since 2005, with over 60 million units shipped Platforms

More information

The Programming Interface

The Programming Interface : In-System Programming Features Program any AVR MCU In-System Reprogram both data Flash and parameter EEPROM memories Eliminate sockets Simple -wire SPI programming interface Introduction In-System programming

More information

SBC8600B Single Board Computer

SBC8600B Single Board Computer SBC8600B Single Board Computer 720MHz TI s Sitara AM3359 ARM Cortex-A8 Microprocessor Onboard 512MByte DDR3 SDRAM and 512MByte NAND Flash UARTs, 2*USB Host and 1*OTG, 2*Ethernet, CAN, RS485, LCD/TSP, Audio,

More information

Lab 1: Introduction to Xilinx ISE Tutorial

Lab 1: Introduction to Xilinx ISE Tutorial Lab 1: Introduction to Xilinx ISE Tutorial This tutorial will introduce the reader to the Xilinx ISE software. Stepby-step instructions will be given to guide the reader through generating a project, creating

More information

AGIPD Interface Electronic Prototyping

AGIPD Interface Electronic Prototyping AGIPD Interface Electronic Prototyping P.Goettlicher I. Sheviakov M. Zimmer - Hardware Setup, Measurements - ADC (AD9252 14bit x 8ch x 50msps ) readout - Custom 10G Ethernet performance - Conclusions Test

More information

Software User Guide UG-461

Software User Guide UG-461 Software User Guide UG-461 One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com ezlinx icoupler Isolated Interface Development Environment

More information

Single Port Gigabit Ethernet Media Converter Board (P/N 201350-xxx) User s Manual And Troubleshooting Guide

Single Port Gigabit Ethernet Media Converter Board (P/N 201350-xxx) User s Manual And Troubleshooting Guide Single Port Gigabit Ethernet Media Converter Board (P/N 201350-xxx) User s Manual And Troubleshooting Guide August 12, 2009 Rev C Moog Components Group Springfield Operations 750 West Sproul Road Springfield,

More information

Febex Data Acquisition System

Febex Data Acquisition System Febex Data Acquisition System (FPGA Hit Finder and Energy Filter for the FEBEX Pipelining ADC) Dr. Ivan Rusanov for CSEE, GSI - Darmstadt CSEE meeting, GSI 24.02.2014., Darmstadt The Febex Data AcquisitionSystem

More information

TIP-VBY1HS Data Sheet

TIP-VBY1HS Data Sheet Preliminary DATA SHEET Preliminary TIP-VBY1HS Data Sheet V-by-One HS Standard IP for Xilinx FPGA Rev.1.00 Tokyo Electron Device Ltd. Rev1.00 1 Revision History The following table shows the revision history

More information

xxx Dual AMC box Datasheet v1.1(12.2015)

xxx Dual AMC box Datasheet v1.1(12.2015) xxx Dual AMC box Datasheet v1.1(12.2015) Document version: Preliminary Issue Date: December 8, 2015 Written by: Approved by: Document title: Filip Świtakowski Marcin Kiepiela Dual AMC box - Datasheet Page

More information

CAPTAN: A Hardware Architecture for Integrated Data Acquisition, Control, and Analysis for Detector Development

CAPTAN: A Hardware Architecture for Integrated Data Acquisition, Control, and Analysis for Detector Development FERMILAB-PUB-08-527-CD CAPTAN: A Hardware Architecture for Integrated Data Acquisition, Control, and Analysis for Detector Development Marcos Turqueti, Ryan A. Rivera, Alan Prosser, Jeffry Andresen and

More information

Designing VM2 Application Boards

Designing VM2 Application Boards Designing VM2 Application Boards This document lists some things to consider when designing a custom application board for the VM2 embedded controller. It is intended to complement the VM2 Datasheet. A

More information

Fairchild Solutions for 133MHz Buffered Memory Modules

Fairchild Solutions for 133MHz Buffered Memory Modules AN-5009 Fairchild Semiconductor Application Note April 1999 Revised December 2000 Fairchild Solutions for 133MHz Buffered Memory Modules Fairchild Semiconductor provides several products that are compatible

More information

Module 22: Signal Integrity

Module 22: Signal Integrity Module 22: Signal Integrity Module 22: Signal Integrity 22.1 Signal Integrity... 22-1 22.2 Checking Signal Integrity on an FPGA design... 22-3 22.2.1 Setting Up...22-3 22.2.2 Importing IBIS Models...22-3

More information

Introducing AVR Dragon

Introducing AVR Dragon Introducing AVR Dragon ' Front Side Back Side With the AVR Dragon, Atmel has set a new standard for low cost development tools. AVR Dragon supports all programming modes for the Atmel AVR device family.

More information

1U µtca.4 Chassis with 2 AMC Slots, PCIe Gen 3 VT816

1U µtca.4 Chassis with 2 AMC Slots, PCIe Gen 3 VT816 1U µtca.4 Chassis, 2 AMCs KEY FEATURES MicroTCA.4 low-profile chassis platform, 19 x 1U x 14.2 deep Compliant to µtca.4 specifications with rear IO Supports two µtca.4 mid-size or one full-size double

More information

VTR-1000 Evaluation and Product Development Platform. User Guide. 2013 SOC Technologies Inc.

VTR-1000 Evaluation and Product Development Platform. User Guide. 2013 SOC Technologies Inc. VTR-1000 Evaluation and Product Development Platform User Guide 2013 SOC Technologies Inc. SOC is disclosing this user manual (the "Documentation") to you solely for use in the development of designs to

More information

OUTLINE SPECIFICATIONS FOR THE VME MOUNT MODULE AND TCM IN SLOTS 1 & 21.

OUTLINE SPECIFICATIONS FOR THE VME MOUNT MODULE AND TCM IN SLOTS 1 & 21. OUTLINE SPECIFICATIONS FOR THE VME MOUNT MODULE AND TCM IN SLOTS 1 & 21. WHY TCM ETC TRIGGER PRESSOR MODULES REQUIRE AN INPUT OF LHC CLKS AND CONTROLS via THE TTC SYSTEM --- AND ACCESS TO THE DCS SYSTEM

More information

Route Processor. Route Processor Overview CHAPTER

Route Processor. Route Processor Overview CHAPTER CHAPTER 6 This chapter describes the route processor (RP) card. The following sections are included: Overview, page 6-1 Primary and Standby Arbitration, page 6-4 RP Card to Fabric Module Queuing, page

More information

Switch board datasheet EB007-00-1

Switch board datasheet EB007-00-1 Switch board datasheet EB007-00-1 Contents 1. About this document... 2 2. General information... 3 3. Board layout... 4 4. Testing this product... 5 5. Circuit description... 6 Appendix 1 Circuit diagram

More information

nanoetxexpress Specification Revision 1.0 Figure 1 nanoetxexpress board nanoetxexpress 26.02.2009 Specification Rev 1.

nanoetxexpress Specification Revision 1.0 Figure 1 nanoetxexpress board nanoetxexpress 26.02.2009 Specification Rev 1. nanoetxexpress Specification Revision 1.0 Figure 1 nanoetxexpress board Specification Rev 1.0 Page 1 of 12 Contents Figure 1 nanoetxexpress board...1 1. Introduction...3 2. Module Configuration...4 3.

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

RN-WIFLY-EVAL-UM. WiFly Evaluation Kit. 2012 Roving Networks. All rights reserved. RN-WIFLY-EVAL-UM Version 1.32r 10/9/2012 USER MANUAL

RN-WIFLY-EVAL-UM. WiFly Evaluation Kit. 2012 Roving Networks. All rights reserved. RN-WIFLY-EVAL-UM Version 1.32r 10/9/2012 USER MANUAL WiFly Evaluation Kit 2012 Roving Networks. All rights reserved. Version 1.32r 10/9/2012 USER MANUAL OVERVIEW This document describes the hardware and software setup for Roving Networks evaluation kits,

More information

S1600 1394b at 1.6 Gigabit/Second Bandwidth Encourages Industrial Imaging and Instrumentation Applications Growth

S1600 1394b at 1.6 Gigabit/Second Bandwidth Encourages Industrial Imaging and Instrumentation Applications Growth S1600 1394b at 1.6 Gigabit/Second Bandwidth Encourages Industrial Imaging and Instrumentation Applications Growth Dave Thompson, LSI Corp Virtually all but the most basic Personal Computers produced today

More information

Readout Unit using Network Processors

Readout Unit using Network Processors Readout Unit using Network Processors FEM FEM FEM FEM DAQ RU GbE GbE GbE GbE IBM NP4GS3 Phy GMII Phy GMII Phy GMII Phy GMII 4 x 1Gb full duplex Ethernet MACs ECS Ethernet CC-PC PCI Switch Bus IBM NP4GS3

More information

Driving SERDES Devices with the ispclock5400d Differential Clock Buffer

Driving SERDES Devices with the ispclock5400d Differential Clock Buffer October 2009 Introduction Application Note AN6081 In this application note we focus on how the ispclock 5406D and a low-cost CMOS oscillator can be utilized to drive the reference clock for SERDES-based

More information

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

CAN bus board. www.matrixmultimedia.com EB018

CAN bus board. www.matrixmultimedia.com EB018 CAN bus board www.matrixmultimedia.com EB018 Contents About this document 3 Board layout 3 General information 4 Circuit description 5 Protective cover 6 Circuit diagram 7 2 Copyright About this document

More information

1000BASE-T and 10/100/1000BASE-T Copper SFP Transceiver

1000BASE-T and 10/100/1000BASE-T Copper SFP Transceiver 1000BASE-T and 10/100/1000BASE-T Copper SFP Transceiver Features Up to 1.25Gb/s bi-directional data links Hot-pluggable SFP footprint TX Disable and RX Los/without Los function Fully metallic enclosure

More information

Fondamenti su strumenti di sviluppo per microcontrollori PIC

Fondamenti su strumenti di sviluppo per microcontrollori PIC Fondamenti su strumenti di sviluppo per microcontrollori PIC MPSIM ICE 2000 ICD 2 REAL ICE PICSTART Ad uso interno del corso Elettronica e Telecomunicazioni 1 2 MPLAB SIM /1 MPLAB SIM is a discrete-event

More information

Technical Information Jumpers, Connectors and Memory JXM7031 (7031-xxx) MicroATX Motherboard Dual Jasper Forest Processors

Technical Information Jumpers, Connectors and Memory JXM7031 (7031-xxx) MicroATX Motherboard Dual Jasper Forest Processors Technical Information Jumpers, Connectors and Memory JXM7031 (7031-xxx) MicroATX Motherboard Dual Jasper Forest Processors Dimension Diagram * = Pin 1 = Card Slots are on.800 centers Notes: All dimensions

More information

ALL-USB-RS422/485. User Manual. USB to Serial Converter RS422/485. ALLNET GmbH Computersysteme 2015 - Alle Rechte vorbehalten

ALL-USB-RS422/485. User Manual. USB to Serial Converter RS422/485. ALLNET GmbH Computersysteme 2015 - Alle Rechte vorbehalten ALL-USB-RS422/485 USB to Serial Converter RS422/485 User Manual ALL-USB-RS422/485 USB to RS-422/485 Plugin Adapter This mini ALL-USB-RS422/485 is a surge and static protected USB to RS-422/485 Plugin Adapter.

More information

7 Series FPGA Overview

7 Series FPGA Overview 7 Series FPGA Overview 7 Series FPGA Families Maximum Capability Lowest Power and Cost Industry s Best Price/Performance Industry s Highest System Performance Logic Cells Block RAM DSP Slices Peak DSP

More information

USB 3.0 Connectivity using the Cypress EZ-USB FX3 Controller

USB 3.0 Connectivity using the Cypress EZ-USB FX3 Controller USB 3.0 Connectivity using the Cypress EZ-USB FX3 Controller PLC2 FPGA Days June 20, 2012 Stuttgart Martin Heimlicher FPGA Solution Center Content Enclustra Company Profile USB 3.0 Overview What is new?

More information

SMARTCARD XPRO. Preface. SMART ARM-based Microcontrollers USER GUIDE

SMARTCARD XPRO. Preface. SMART ARM-based Microcontrollers USER GUIDE SMART ARM-based Microcontrollers SMARTCARD XPRO USER GUIDE Preface Atmel SMARTCARD Xplained Pro is an extension board to the Atmel Xplained Pro evaluation platform. Atmel SMARTCARD Xplained Pro is designed

More information

MPX28. o UART, SD-CARD, I2C, PWM, Serial Audio, SPI Power management optimized for long battery life 3.3V I/O

MPX28. o UART, SD-CARD, I2C, PWM, Serial Audio, SPI Power management optimized for long battery life 3.3V I/O MPX28 System On Module Processor: Freescale i.mx287, 454 MHz based on ARM926EJ-S RAM: 128MB DDR2-400 SDRAM ROM: 128MB NAND Flash Power supply Single 4.5V to 5.5V Size 33mm SO-DIMM format Temp.-Range 0

More information

PCAN-MicroMod Evaluation Test and Development Environment for the PCAN-MicroMod. User Manual. Document version 2.0.1 (2013-08-06)

PCAN-MicroMod Evaluation Test and Development Environment for the PCAN-MicroMod. User Manual. Document version 2.0.1 (2013-08-06) PCAN-MicroMod Evaluation Test and Development Environment for the PCAN-MicroMod User Manual Document version.0. (0-0-0) Products taken into account Product Name Part number Model PCAN-MicroMod Evaluation

More information

FLYPORT Wi-Fi 802.11G

FLYPORT Wi-Fi 802.11G FLYPORT Wi-Fi 802.11G System on module 802.11g WIFI - Infrastructure mode - softap mode - Ad hoc mode Microchip PIC 24F 16 bit processor Microchip MRF24WG0MA/MB - Native WiFi 802.11g transceiver - PCB

More information

MFRD52x. Mifare Contactless Smart Card Reader Reference Design. Document information

MFRD52x. Mifare Contactless Smart Card Reader Reference Design. Document information Rev. 2.1 17. April 2007 Preliminary Data Sheet Document information Info Keywords Content MFRC522, MFRC523, MFRC52x, MFRD522, MFRD523, Mifare Contactless Smart Card Reader Reference Design, Mifare Reader

More information

How To Write A Librao Low Voltage Differential (Lvds) Module On An Nio 6585 Fuserio (Flexrio) Power Supply (Femaleseo) And Power Supply On An Iphone Or Ip

How To Write A Librao Low Voltage Differential (Lvds) Module On An Nio 6585 Fuserio (Flexrio) Power Supply (Femaleseo) And Power Supply On An Iphone Or Ip NI 6585 Specifications This document lists the specifications of the National Instruments 6585 FlexRIO low-voltage differential (LVDS) adapter module. Pair these specifications with your FPGA module specifications.

More information

PICNet 1. PICNet 1 PIC18 Network & SD/MMC Development Board. Features. Applications. Description

PICNet 1. PICNet 1 PIC18 Network & SD/MMC Development Board. Features. Applications. Description Features PICNet 1 PIC18 Network & SD/MMC Development Board IC Sockets for 28 or 40-pin Microchip PIC18F Microcontrollers IC Socket for 8-pin serial EEPROM Multiple MCU Oscillator sources Full 10BaseT IEEE

More information

ZL40221 Precision 2:6 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet

ZL40221 Precision 2:6 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet Features Inputs/Outputs Accepts two differential or single-ended inputs LVPECL, LVDS, CML, HCSL, LVCMOS Glitch-free switching of references On-chip input termination and biasing for AC coupled inputs Six

More information

PMC-XM-DIFF & EADIN/MODBUS Virtex Design

PMC-XM-DIFF & EADIN/MODBUS Virtex Design DYNAMIC ENGINEERING 150 DuBois, Suite C Santa Cruz, CA 95060 (831) 457-8891 Fax (831) 457-4793 http://www.dyneng.com sales@dyneng.com Est. 1988 User Manual PMC-XM-DIFF & EADIN/MODBUS Virtex Design Interface

More information

Arduino ADK Back. For information on using the board with the Android OS, see Google's ADK documentation.

Arduino ADK Back. For information on using the board with the Android OS, see Google's ADK documentation. Arduino ADK Arduino ADK R3 Front Arduino ADK R3 Back Arduino ADK Front Arduino ADK Back Overview The Arduino ADK is a microcontroller board based on the ATmega2560 (datasheet). It has a USB host interface

More information

Bus Interconnect Evolution in embedded computing

Bus Interconnect Evolution in embedded computing Bus Interconnect Evolution in embedded computing Fortronic Industrial Torino Torino 8 Marzo Embedded Computing Embedded Computing Applications Form Factors Trends, drivers Serial Communication Compact

More information

USER GUIDE. ATWINC1500B Hardware Design Guidelines - IEEE 802.11 b/g/n IoT Module. Atmel SmartConnect. Introduction

USER GUIDE. ATWINC1500B Hardware Design Guidelines - IEEE 802.11 b/g/n IoT Module. Atmel SmartConnect. Introduction USER GUIDE ATWINC1500B Hardware Design Guidelines - IEEE 802.11 b/g/n IoT Module Atmel SmartConnect Introduction This document details the hardware design guidelines for a customer to design the Atmel

More information

PCI Express and Storage. Ron Emerick, Sun Microsystems

PCI Express and Storage. Ron Emerick, Sun Microsystems Ron Emerick, Sun Microsystems SNIA Legal Notice The material contained in this tutorial is copyrighted by the SNIA. Member companies and individuals may use this material in presentations and literature

More information

Calibration and performance test of the Very Front End electronics for the CMS electromagnetic calorimeter

Calibration and performance test of the Very Front End electronics for the CMS electromagnetic calorimeter Calibration and performance test of the Very Front End electronics for the CMS electromagnetic calorimeter Jan Blaha IPN Lyon 10th Topical Seminar on Innovative Particle and Radiation Detectors (IPRD06)

More information

+Denotes lead-free and RoHS-compliant. C5 C10, C17, C18

+Denotes lead-free and RoHS-compliant. C5 C10, C17, C18 19-0623; Rev 1; 3/08 Maxim MINIQUSB User Guide General Description The Maxim command module (MINIQUSB) receives commands from a PC through the USB to create an SPI or SMBus /I 2 C-compatible interface.

More information

1 Mi kołaj Z Mik ar ołaj Z zyc arzy ki cki

1 Mi kołaj Z Mik ar ołaj Z zyc arzy ki cki 1 Mikołaj Zarzycki NAND Flash SD Card Connector 256MB, 8bit I/F Push type, Up to 2GB/12.5Mbps USB Connector 1 x Device 2 x HOST, Dual-port LCD Module KEY Battery Holder 128 x 64 dots matrix structure 4

More information

How To Build A Prototyg Carrier Board For Industrial Use

How To Build A Prototyg Carrier Board For Industrial Use Rev 1.05 as of 2012-04-11 Trenz Electronic GmbH info@trenz-electronic.de www.trenz-electronic.de Prototyg Carrier Board for Industrial Micromodule Overview The Prototyg Carrier Board provides low-cost

More information

UniPi technical documentation REV 1.1

UniPi technical documentation REV 1.1 technical documentation REV 1.1 Contents Overview... 2 Description... 3 GPIO port map... 4 Power Requirements... 5 Connecting Raspberry Pi to UniPi... 5 Building blocks... 5 Relays... 5 Digital Inputs...

More information

LatticeECP3 High-Speed I/O Interface

LatticeECP3 High-Speed I/O Interface April 2013 Introduction Technical Note TN1180 LatticeECP3 devices support high-speed I/O interfaces, including Double Data Rate (DDR) and Single Data Rate (SDR) interfaces, using the logic built into the

More information

PCAN-MicroMod Universal I/O Module with CAN Interface. User Manual. Document version 2.1.0 (2014-01-16)

PCAN-MicroMod Universal I/O Module with CAN Interface. User Manual. Document version 2.1.0 (2014-01-16) PCAN-MicroMod Universal I/O Module with CAN Interface User Manual Document version 2.1.0 (2014-01-16) Products taken into account Product Name Part number Model PCAN-MicroMod IPEH-002080 with firmware

More information

ABACOM - netpio. http://www.abacom-online.de/div/setup_netpio.exe

ABACOM - netpio. http://www.abacom-online.de/div/setup_netpio.exe ABACOM - netpio Download http://www.abacom-online.de/div/setup_netpio.exe The ABACOM netpio board is a 10Mbit network interface designed for measurement and control applications. The board is available

More information

AC-PG-USBASP USBASP AVR Programmer

AC-PG-USBASP USBASP AVR Programmer AC-PG-USBASP-UG TABLE OF CONTENTS 1. OVERVIEW... 1 1.1. Introduction... 1 1.2. References... 1 1.2.1. Referenced Web Pages... 1 1.2.2. Acronyms and Abbreviations... 1 1.3. Supported Microcontrollers...

More information

8-Bit Flash Microcontroller for Smart Cards. AT89SCXXXXA Summary. Features. Description. Complete datasheet available under NDA

8-Bit Flash Microcontroller for Smart Cards. AT89SCXXXXA Summary. Features. Description. Complete datasheet available under NDA Features Compatible with MCS-51 products On-chip Flash Program Memory Endurance: 1,000 Write/Erase Cycles On-chip EEPROM Data Memory Endurance: 100,000 Write/Erase Cycles 512 x 8-bit RAM ISO 7816 I/O Port

More information

ET-BASE AVR ATmega64/128

ET-BASE AVR ATmega64/128 ET-BASE AVR ATmega64/128 ET-BASE AVR ATmega64/128 which is a Board Microcontroller AVR family from ATMEL uses MCU No.ATmega64 and ATmega128 64PIN. Board ET-BASE AVR ATmega64/128 uses MCU s resources on

More information

TAP CONNECT JTAG CABLE

TAP CONNECT JTAG CABLE TAP CONNECT JTAG Cable Application Notes TAP CONNECT JTAG CABLE ontap JTAG Test & Programming Cable Installation and Setup Instructions for Standard and Low Voltage Cable Rev. V Table of Contents About

More information

ChipScope Pro Tutorial

ChipScope Pro Tutorial ChipScope Pro Tutorial Using an IBERT Core with ChipScope Pro Analyzer Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the

More information

MAX II ISP Update with I/O Control & Register Data Retention

MAX II ISP Update with I/O Control & Register Data Retention MAX II ISP Update with I/O Control & Register Data Retention March 2006, ver 1.0 Application Note 410 Introduction MAX II devices support the real-time in-system mability (ISP) feature that allows you

More information