2005 Annual Report. The Process of Innovation SM. International

Size: px
Start display at page:

Download "2005 Annual Report. The Process of Innovation SM. International"

Transcription

1 2005 Annual Report The Process of Innovation SM ASM International

2

3 Contents 3 Financial Highlights and Selected Comparative Financial Data 4 ASM International 8 Letter to Shareholders 12 Report from the Supervisory Board 16 Corporate Governance 23 Corporate Information 24 ASM International Worldwide 27 Form 20-F ASM In the more than 35-year history of our Company, ASM International N.V. has witnessed the evolution of the semiconductor equipment industry, from two inch wafers of the late 1960 s, to the 300mm wafers of today. Symbolic of these products is the silicon crystal... the basis of the ASM International logo.

4 Trademarks ASM, the ASM International logo, A600 UHV, Advance, Aurora, Carbonspeed, Coppermine, Dragon, ECMP, Eagle, Epsilon, Levitor, LuminaCu, NuTool, Polygon, Pulsar, Rapidfire, and Silcore are our registered trademarks. A400, A412, A4ALD, ALCVD, Atomic Layer CVD, Better Logic, Eagle TRIDENT, ECMD, EmerALD, New Technology, PEALD, RL-CMP, SmartBatch, and Superfill CVD are our trademarks, and The Process of Innovation is our service mark. AB500B, DRYLUB, EQUIPMANAGER, IDEALine, IDEALsystem, IDEALab, IDEALNet, PGS, SMARTWALK, and SOFTEC are registered trademarks of ASM Pacific Technology Ltd. Eagle60, Harrier, Hummingbird, IDEALmold, Osprey, and TwinEagle are trademarks of ASM Pacific Technology Ltd. Safe Harbor Statement In addition to historical information, this Annual Report contains statements relating to our future business and / or results, including, among others, statements regarding future expenditures, sufficiency of cash generated from operations, maintenance of majority interest in ASM Pacific Technology, business strategy, product development, product acceptance, market penetration, market demand, return on investment in new products, facility completion dates and product shipment dates, and any other nonhistorical information in this Annual Report. These statements include certain projections and business trends, which are forward-looking within the meaning of the United States Private Securities Litigation Reform Act of You can identify these statements by the use of words like may, will, could, should, project, believe, anticipate, expect, plan, estimate, forecast, potential, intend, continue and variations of these words or comparable words. Forward-looking statements do not guarantee future performance and involve risks and uncertainties. You should be aware that our actual results may differ materially from those contained in the forward-looking statements as a result of certain risks and uncertainties. These risks and uncertainties include, but are not limited to, economic conditions and trends in the semiconductor industry and the duration of industry downturns, currency fluctuations, the timing of significant orders, market acceptance of new products, competitive factors, litigation involving intellectual property, shareholder and other issues, commercial and economic disruption due to natural disasters, terrorist activity, armed conflict or political instability, epidemics and other risks indicated in the Company s Form 20-F for the year ended December 31, 2005 and other filings from time to time with the SEC. The Company assumes no obligation nor intends to update or revise any forward-looking statements to reflect future developments or circumstances. Statutory Annual Report The Consolidated Financial Statements and other financial information included in this Annual Report are prepared in accordance with US GAAP. A copy of ASM International s Statutory Annual Report prepared in accordance with International Financial Reporting Standards, is available free of charge by writing to our corporate offices or to investor.relations@asm.com ASMI 2005 ANNUAL REPORT 2

5 Financial Highlights and Selected Comparative Financial Data In euros and U.S. dollars 1 Year ended December 31,) (millions, except per share data and full-time equivalents) 2001) 2002) 2003) 2004) 2005) 2005) EUR) EUR) EUR) EUR) EUR) US $) Operations: Net sales: 561.1) )518.8) 581.9) 754.2) 726.4) 860.2) Front-end 336.6) 266.9) 286.5) 355.5) 359.6) 425.9) Back-end 224.5) 251.9) 295.4) 398.7) 366.8) 434.3) Earnings (loss) from operations: 24.3) (6.0) 14.2) 88.4) 18.4) 21.8) Front-end (3.7) (42.7) (45.3) (16.2) (80.3) (95.1) Back-end 28.0) 36.7) 59.5) 104.6) 98.7) 116.9) Net earnings (loss) 6.1) (32.2) (32.1) 24.0) (40.2) (47.6) Balance sheet: Net working capital ) 199.8) 154.4) 189.2) 234.6) 277.8) Total assets 757.1) 648.7) 662.2) 823.8) 812.3) 961.9) Net debt ) 76.1) 52.8) 78.6) 122.4) 145.0) Backlog: 132.6) 142.9) 199.0) 186.8) 221.9) 262.8) Front-end 107.2) 109.9) 104.7) 140.9) 135.4) 160.4) Back-end 25.4) 33.0) 94.3) 45.9) 86.5) 102.4) Number of staff: Full-time equivalents: 5,955) 6,554) 7,433) 8,260) 9,451) 9,451) Front-end 1,125) 1,226) 1,179) 1,492) 1,691) 1,691) Back-end 4,830) 5,328) 6,254) 6,768) 7,760) 7,760) Per share data: Earnings (loss) per share from operations: Basic 0.50) (0.12) 0.29) 1.72) 0.35) 0.41) Diluted 0.49) (0.12) 0.29) 1.71) 0.35) 0.41) Net earnings (loss) per share: Basic 0.12) (0.66) (0.65) 0.47) (0.76) (0.90) Diluted 0.12) (0.66) (0.65) 0.46) (0.76) (0.90) Weighted average number of shares used in computing per share amounts (in thousands): Basic 48,944) 49,170) 49,642) 51,540) 52,638) 52,638) Diluted 49,958) 49,170) 49,642) 51,858) 52,638) 52,638) (1) For the convenience of the reader, Financial Highlights and Selected Comparative Financial Data for 2005 have been converted into U.S. dollars at the exchange rate of U.S. dollar per euro, which was the noon buying rate in New York City for cable transfers payable in euros at December 31, (2) Net working capital includes accounts receivable, inventories, other current assets, accounts payable, accrued expenses, advance payments from customers and deferred revenue. (3) Net debt includes long-term debt, convertible subordinated debt and notes payable to banks, less cash and cash equivalents ANNUAL REPORT ASMI

6 ASM International ASM International N.V. ( ASMI ) is a leading supplier of semiconductor equipment, materials and process solutions addressing both the wafer processing, and assembly and packaging markets. Our customers include all of the top semiconductor device manufacturers in the world. Mission and Business Focus ASMI s mission is to provide our customers with the most advanced, cost-effective, and reliable products, service and global support network in the semiconductor industry and beyond. We advance the adoption of our technology platforms by developing new materials and process applications that progressively align ASMI with our customers long-term technology roadmaps. Our business objective is to realize profitable, sustainable growth by capitalizing on our technological innovations and manufacturing base. This includes: leveraging our strong technology portfolio in both front- and back-end to meet the future heterogeneous integration environment of more than Moore technology; duplicating our successful vertically-integrated back-end business model in front-end; and, broadening our alliances with the world s leading research institutes, strategic global partners and customers to enhance the scope and depth of our R&D and service activities. Intellectual Property ASMI is recognized throughout our industry for its achievements in innovative technologies -- contributions that have led the industry s migration to smaller, more complex, integrated circuits. Today, we support high volume production systems for leading-edge semiconductor devices at 90 and 65nm, with testing and development of new 45 and 32nm production solutions in our laboratories as well as in our customers pilot lines. Our intellectual property portfolio has expanded significantly over the years, containing multiple patents for key developments in ASMI equipment, software, materials and process designs. In 2005, we began licensing select technologies to peers for noncompetitive applications in the semiconductor industry, as well as new applications beyond our addressable markets. Wafer Processing ASMI participates in three distinct front-end manufacturing processes: wafer manufacturing, transistor formation, and interconnect. By building upon our core strengths in vertical furnaces, RTP, epitaxy, atomic layer deposition, LPCVD and PECVD technology platforms, today we address all the critical areas driving the semiconductor industry roadmap: silicon-oninsulator (SOI) and strained silicon, high-k gate stacks, shallow junctions and silicides, and interconnect, leading the industry transition to smaller line-widths and better transistors. Assembly and Packaging ASM Pacific Technology Ltd. ( ASMPT ), our 54-percent owned back-end subsidiary, is the world s largest assembly and packaging equipment supplier for the semiconductor industry. With headquarters in Hong Kong, and operations in the People s Republic of China, Singapore, and Malaysia, ASMPT offers the most comprehensive leading edge portfolio for all the major process steps in back-end, from die attach through encapsulation, and includes the only fully integrated assembly, packaging and testing line in the industry. Global Operations With corporate headquarters in Bilthoven, the Netherlands, ASMI operates manufacturing facilities in the Netherlands, the United States, Japan, Hong Kong, the People s Republic of China, Singapore, Malaysia, with design, research and development centers in Europe, North America, and Asia, and our sales and service operations spanning 18 countries across the globe. Our workforce totals 9,451 worldwide. ASMI trades on the NASDAQ stock market under the symbol ASMI, and on Euronext Amsterdam under the symbol ASM. ASMPT trades on the Hong Kong Exchanges under the code ASMI 2005 ANNUAL REPORT 4

7 ASMI PRODUCT PORTFOLIO: THE PROCESS OF INNOVATION Wafer Processing ASMI s front-end wafer processing portfolio is recognized throughout the industry for it s depth, superior technology, reliability, and competitive edge. Our principal front-end portfolio includes: Advance 400 Series of Vertical Batch processing systems The vertical furnace line includes 300mm tools for diffusion, oxidation, CVD, LPCVD or ALD processing, and features our award-winning A412 and A400 for 300mm and 200mm wafers, Smart Batch for variable loads of 1 to 50 wafers, and the A4ALD for DRAM capacitor applications. Levitor RTP systems Our revolutionary rapid thermal processing system, featuring a floating wafer concept for 200mm and 300mm wafers, uses one-tenth the power of conventional lamp-based systems and heats wafers more uniformly, independent of the structures on the wafer, thereby improving yield. Epsilon single wafer epitaxial systems Our installed base of Epsilon is used in multiple applications of silicon and silicon alloys, including the Epsilon 3000 series for 300mm, low temperature processes in SiGe and SiGeC, and strained silicon. Our newest model Epsilon 3220, featuring dual chambers, is scheduled for launch in Polygon single wafer ALD systems Our Polygon systems, enabling our ALCVD processes, are the world s leading high-k dielectric in production systems, and can be used with one or more Pulsar modules. ASMI s plasma-enhanced ALD (PEALD) technology is used in DRAM and BEOL capacitor applications. Eagle and Dragon PECVD systems These single-wafer plasma systems deposit films on wafers using a PECVD process. They include: our Eagle 12 for 300mm HVM in PECVD for interconnect applications and the Eagle 12 Rapidfire. The Dragon 2300, our dual reactor system, provides high wafer throughput at lower cost, and what we believe is the smallest footprint of any PECVD HVM tool. Assembly and Packaging ASMI s assembly and packaging portfolio continues to receive industry-wide recognition for superior performance and cost of ownership. Our principal back-end portfolio includes: Leadframes We produce both stamped and etch leadframes, and are a pioneer in QFN leadframes used in mobile devices. With our in-house etching and plating, leadframes can be customized to all customer specifications. Die Bonders Our die bonder platforms address both semiconductor and optoelectronic markets, and include the AD8912 epoxy die bonder 300mm, the AD8912SD for stacked die, and the AD900 high-speed flip chip die bonder series for advanced IC applications. Wire Bonders These thermosonic bonders feature our award-winning Eagle family of high output gold wire bonders, and newest generation aluminum wire bonder. Our advanced platforms include the ultra fine pitch Eagle60AP for 30 micron pad pitch advanced packages; the ultra-high speed TwinEagle60 for 180% capacity; the Harrier, for mixed wire bonding; and the innovative Hummingbird, our wafer level stud bumping system. Molding Systems The Osprey, our new revolutionary molding system, offers high flexibility, low cost-of-ownership, and the smallest footprint in the industry. The IDEALmold is our standalone in-line encapsulation system. High speed trim and form systems These systems are adaptable to specific package configurations for package, ball or market inspection, or all three, for processing QFN, Chip Array or CSPBGA packages. Test Handlers This line features carrier-based parallel testing for leading edge applications such as BGA, QFN, and QFP. The IDEALine The industry s only in line factory automation system, integrates all back-end processing steps, from die and wire bonding, to post mold curing. A flexible modular system, it offers significant cycle time reduction and reliability improvements for test, assembly and packaging ANNUAL REPORT ASMI

8 ASM International (continued) ASMI CORPORATE ACHIEVEMENTS In 2005, we remained focused on our long-term strategic goals, despite the uneven industry momentum, and as a result, succeeded with key competitive wins -- penetrating new customers and markets, new processes and products -- and made internal targets in operational restructurings. Here are the highlights. Corporate Milestones Front-End Manufacturing in Singapore (FEMS) We reached our production targets with the majority of 300mm Vertical Furnace subsystems produced in Singapore by the third quarter, and the first components and subsystems for 200mm Vertical Furnaces and 200mm epitaxial systems rolling out in the fourth quarter. Global Sales and Marketing We expanded our sales and marketing coverage worldwide, as we focused on realignments in Taiwan, which we initiated in As a result, in 2005 we won significant market share in Taiwan in Vertical Furnace and at the same time positioned ourselves for growth in our PECVD product line. In Korea, we also advanced our position with the key customers with our overall front-end portfolio. 300mm Market Penetration in Asia In the early part of the decade, our 300mm products penetrated many of the 300mm fabs in the US and Europe, while Asia was much less of a contributor. Now, in the past two years we see our share of the 300mm market growing throughout Asia as well. Corporate Financing We paid off the remaining H 78 million of our 5% Convertible notes that were due November 15, ASM Pacific Technology 30th Anniversary In 2005, ASMPT celebrated 30 years in operation, 30 years of profitability and three decades of contribution to the semiconductor production technology. ASM Pacific Technology Leadership Position ASMPT once again outperformed its competitors and gained equipment market share as the number one player in this segment. Front-end Wafer Processing - Competitive Gains Our front-end portfolio addresses those industry sectors with the greatest potential for our growth over the next five years. Vertical Batch Furnace Market Share Wins: We won new customers in Asia with our A mm, and booked follow-on orders from two US customers and won new applications at existing customers in the US and Europe. Enabling Process: We introduced a new Vertical Furnace batch process for titanium nitride, used in DRAM capacitors. We also booked an order from a major DRAM maker for an ASM patented process that deposits an amorphous silicon film with extreme flatness. Plasma Processing 65nm HVM Ramp of low-k: We supplied the first HVM ramp at 65nm with our Aurora low-k film, winning the first 65nm supplier of the month award at our largest US account for support and services during this ramp. We are very well positioned for the 45nm HVM ramp, slated for Other PECVD applications: We introduced new applications for the memory market with NanoCarbon Polymer (NCP), a new hardmask film for 193nm lithography that expands the application range of our Eagle and Dragon platforms. ASMI 2005 ANNUAL REPORT 6

9 Financial Highlights and Selected Comparative Financial Data Epitaxy Strained Silicon: We won the industry s first 65nm HVM ramp in strained silicon, as leading strained silicon supplier. Wafer Market Win: We penetrated a key wafer supplier in Taiwan, following on our success in First in China: In the fourth quarter of 2005, we sold the industry s first 300mm epi reactor, our Epsilon 3200, in Mainland China. Dual Chamber Epi: We made progress in the development of our newest epi system, the Epsilon 3220, scheduled for introduction in Atomic Layer Deposition ALD Market Wins: We booked orders for ALD tools from a key US customer for our 300mm Polygon Cluster Tool for ALCVD high-k dielectric film deposition, and PEALD metal film deposition; and from a Japanese supplier of magnetic heads. In addition, our PEALD tools are now in demo with major customers in Taiwan and Korea. Rapid Thermal Processing We won qualification for our 300mm Levitor 4300 RTP system from CEA Leti, a French research institute for advanced programs including Ultra-Shallow Junction formation (spike anneals), nickel silicidation, and annealing of high-k films. Licensing Strategies ASMI s IP Portfolio is among its most valuable assets. In 2005 we launched a strategic program to license some of our technology portfolios in non-competitive areas, which we believe will greatly accelerate the acceptance of advanced technologies in world markets. The first agreements were signed for our ALD technology with Veeco Instruments Inc. for applications in data storage, and with Oxford Instruments PLC for tools and processes directed to the global research and development community. Cooperative Ventures Freescale: We extended our collaboration with Freescale Semiconductor Inc. using our Epsilon system for development of Advanced CMOS ICs and selective epitaxy for CMOS transistors, using bulk and SOI wafers. IMEC: We extended our ongoing partnership in transistor technology with advanced Interconnect Technology, for IMEC s sub-45nm copper/low-k development program. Assembly and Packaging Successes Winning Strategy: Out extensive product offering, is the broadest in the industry, and our leading position in integrated assembly systems continued to attract new customers. Gaining in China: We strengthened our position in Mainland China, which became our largest market in Broad Customer Base: We continued to reap the benefits of our diversified Market Strategy and a broad customer base in 2005, with our top five customers accounting for approximately 25% of total sales. Record Materials Revenue: We achieved record leadframe billings in Due to improved demand for QFN and other small outline packages, and the strategic realignment of our leadframe operations in Malaysia, we are poised for further gains in this expanding market. New Markets: We expanded our addressable market with offerings to LED, image sensor and RFID manufacturers, among others. Product Introductions: We launched the Osprey, our flexible low-cost molding system, and a new ultra high speed IC die bonder for smaller die sizes. Our new model aluminum wire bonder migrated to volume production, solidifying our two-decade leadership in the chip-on-board application market. For more information, please visit our company web sites at and ANNUAL REPORT ASMI

10 Letter to Shareholders 2005 was a challenging year for both the semiconductor capital equipment industry and ASM International. The complex mix of escalating energy prices, the uncertainty of consumer-driven trends, and cutting edge technology transitions that altered the industry landscape, created an unsettling environment for capital spending. While coping with the industry volatility, ASMI also faced the challenges we set out to address this year -- returning front-end operations to sustained profitability. Although we fell short of our financial goals in the year, we achieved several strategic restructuring targets, solidified our technology leadership with key industry drivers, built our organizational and market presence in Asia, and capitalized on our innovative product portfolio achievements that together we believe have aligned us for progressive margin improvements in the years ahead. For 2005, net sales were about equally divided between our front-end and back-end segments. Wafer processing sales of H million, or a one percent increase from the prior year, and assembly and packaging sales of H million, eight percent lower than the level of 2004, once again outperformed their respective industry segments. In front-end operations, we are particularly proud of our improved position in Taiwan where we booked strategic wins in the year. Overall, we ended the year in a strong position to benefit from front-end capital investments in 2006 in 300mm tools, 90nm high volume manufacturing (HVM), early 65nm ramps to HVM, and development and test of enabling technologies for the 65nm, 45nm and 32nm nodes. As the year unfolded, back-end equipment sales were once again a leading indicator, and led the slow but steady industry recovery. ASM Pacific Technology Ltd., our 54%-owned subsidiary participated fully in the upturn, gaining market share with new products and product enhancements, addressing both traditional and emerging back-end markets. For the full year, ASMPT extended its lead as the industry s number one supplier of back-end equipment. And once again, the difference in market timing between front-end and back-end segments helped to cushion the impact of the industry cycle on ASMI s overall performance. As supplier to all of the world s top IC makers, our processing systems and new film materials make devices that consume less power, run faster, show less variability, and are able to store more charge. In 2005, we solidified our leadership in newer device generations with enabling technologies that meet device performance road maps, and make state-of-the-art devices possible. These include: strained silicon, atomic layer deposition (ALD), low-k dielectrics, rapid thermal anneal (RTA) and integrated assembly. Front-end Profitability: Our Top Financial Priority ASMI s history as a provider of leading edge technologies is a reflection of our mission: to provide our customers with the most cost-effective technologies and cutting-edge solutions. That commitment comes with a price: Due to the fact that in our industry, long lead times are required for the development of critical technologies, it can take years to realize significant returns on investment. Up till date, ASMI has not paid any dividends. As stated, it is our priority to bring the front-end segment to profitability. As that has been realised, we will investigate whether paying dividends would be feasible. We will take into account realized and expected profitability, solvability and cash flows and distributable retained earnings of ASMI. As of December 31, 2005, ASMI had no distributable net earnings. While our core product lines -- Vertical Furnace, Epitaxy and PECVD -- all have positive earnings from operations on an annual basis, our newest front-end technologies, atomic layer deposition and rapid thermal anneal are still on their way to becoming mature product lines, and therefore, represent a substantial drain on cash resources. In order to achieve our goal of sustainable front-end profitability, we took several strategic initiatives this year in the restructuring of our global wafer processing operations, at the same time maintaining the momentum of technology leadership that has placed ASMI at the forefront of innovation today. First, although we strongly believe in the quality of the NuTool copper plating, planarization and ECMD process technologies, we concluded that further commitment to the commercialization of these technologies was not warranted at this time, due to the large cash outlays and time required to bring them to market. Consequently, we are reducing ASM NuTool to a small operation, focusing on process and intellectual property development with the intention of licensing these technologies. In connection with this restructuring, ASMI has ASMI 2005 ANNUAL REPORT 8

11 Financial Highlights and Selected Comparative Financial Data recorded impairment charges in the amount of H 37 million, primarily non-cash, in the fourth quarter of In the first quarter of 2006 we expect to recognize additional charges in the amount of H 6 million, primarily non-cash. In the fourth quarter of 2005, we also recorded a H 7 million, primarily non-cash, charge related to the consolidation of platforms used in our Capacitor Product Group, which includes ASMI s atomic layer deposition processes ALD and its companion PEALD. Focus on Asia: Strengthening the ASMI Advantage With Asia fast becoming the epicenter of the semiconductor industry, the inroads ASMI made this year to strengthen our global market presence take on greater significance. In Singapore, thanks to our strong regional management team, under the direction of ASMPT, we met our manufacturing targets for front-end manufacturing in Singapore (FEMS), furthering our long-term plan to replicate the highly successful model of ASMPT in our front-end segment. Specific to our Vertical Furnaces, we are also pleased to see that with our stronger market presence in Asia, our improving margins, and with our latest enabling process technologies, our Vertical Furnace product group is well on its way to contributing at higher levels to ASMI s operating base. We strengthened our wafer processing management team in Asia, and won new accounts in 2005 with multiple product orders, and penetrated existing customers with additional product applications. Of key importance was a reorganization of our sales and marketing group in Taiwan, which had a direct effect on our market share gains in the region. We also secured our foothold in the Korean market, as we completed the integration of Genitech and its plasma enhanced ALD technology, which we acquired in In addition, we reached a front-end milestone in China in the fourth quarter: We shipped the first 300mm Epsilon epitaxial reactor to one of China s largest research institutes for semiconductor related applications. In back-end, we expanded our leadframe production facilities in China and Malaysia, which further increased the efficiency of ASMPT s low cost manufacturing base and its service capabilities and also contributed to record leadframe bookings in And for the first time, China became the leading geographic market for our back-end operations, representing 22% of backend sales. Leveraging Our Innovative Technology Portfolio In 2005 ASMI continued to attract strategic global partners -- major consortia, world-class research centers, and top tier device makers -- reflecting our longstanding reputation for innovation. These partnerships not only accelerate the integration of the most competitive technologies into our product platforms more efficiently, they also help reduce time to market for innovative solutions. One outstanding development this year grew out of our collaboration with a leading DRAM manufacturer, where we developed a new hardmask film for 193nm lithography, NanoCarbon Polymer, or NCP. This antireflective film with high etching selectivity expands the application range for our Eagle and Dragon PECVD platforms, and offers new process capabilities for the memory market. During 2005, we also extended our partnership with IMEC, Europe s leading independent nanotechnology research center, adding interconnect technologies to our joint development programs. CEA Leti, France s micro-nanotechnology research organization, selected our Levitor 300mm RTP system for its Nanotech 300 program for 45nm devices and beyond. We also continued our relationship with France s SOITEC for strained silicon and SOI technology. In assembly and packaging, ASMPT entered into a strategic alliance with Microbonds Inc. for the Canadian company s X-Wire package-level interconnect technology that will be integrated into ASMPT s wire bonders and other system solutions. ASMI s intellectual property (IP) portfolio is one of its most important assets. This year we launched our IP licensing program, signing agreements with Veeco Instruments and Oxford Instruments for our atomic layer deposition patent portfolio. The licensing of our IP will leverage our advanced technologies beyond our addressable markets -- and even beyond the semiconductor industry. Our goal is to continuously expand our patent portfolio while licensing our extensive intellectual property, which in many cases can accelerate the acceptance of enabling technology in the broader markets ANNUAL REPORT ASMI

12 Letter to Shareholders (continued) Back-End: a 30-Year Celebration With its diverse products, applications, and extensive customer base, ASM Pacific Technology enjoyed another year of solid performance and celebrated its 30th year of consecutive profitability. Since its founding in 1975, ASMPT has had an outstanding track record for delivering new generations of technology-leading products every two to three years. Today, ASMPT is recognized throughout the industry for its innovative solutions that differentiate ASMPT among back-end players. A key factor behind ASMPT s remarkable performance record over the years is its vertical integration. This unique business model -- the winning combination of a low-cost manufacturing base and product excellence -- gives ASMPT a major advantage over its competitors. Back-End: Extending Its Leading Position During the year, ASMPT received industry recognition for several new products, including the Osprey, a highly flexible encapsulation system, ideal for pilot line or small-lot production; and the TwinEagle, the dual-head wire bonder with unparalleled low cost-of-ownership, which entered high volume manufacturing. The company also succeeded in transferring all etched leadframe activities, including QFN etched frames used in mobile devices, to its new facility in Johor Bahru, Malaysia. The move increases etched frame production capacity, and more importantly, enhances ASMPT s edge in costcompetitiveness. Leveraging its broad technology base and financial resources for R&D programs, even through downturns, ASMPT continues to develop new assembly equipment, in areas such as flip-chip and wafer level packages (WLP), serving advanced device packaging requirements. Our back-end segment is also addressing up-and-coming niche markets, providing both new equipment, as well as standard tools with features for specific applications. In 2005, ASMPT supplied a wide range of products including flip-chip bonders to the LED market, was the number one supplier for CMOS Image Sensors, and delivered its first automated line to the rapidly growing RFID segment, radio frequency identification tags. Overall, these and other subsets of the semiconductor market represent a significant opportunity to offset IC market recessions and down cycles in the future. Front-end and Back-end for Advancing the Competitive Edge Two parallel trends are driving the semiconductor roadmap today: device scaling from 65 to 32nm and beyond, which is primarily related to front-end processes, and heterogeneous package integration that is emerging principally at the die level in the back-end segment. Thanks to our technology leadership in both of our industry segments, ASMI is at the cutting edge in tracking both developments. Over the past four decades, the semiconductor industry has evolved through the scaling of chip geometries according to Moore s Law, named after Intel s Gordon Moore, who stated that computing power could double every two years. But today, consumers are demanding more portable computing capability combined with interactive communications, or ambient intelligence. The semiconductor industry is responding with more Moore, the continued scaling of transistor sizes that perform calculation and storage functions, and more than Moore, which refers to the advanced integration of different micro-systems, composed of components that do not scale easily in one device, such as inductors, RF capacitors, sensors, micromechanical, photonic, or micro-fluidic devices. To address chip scaling, ASMI provides enabling front-end technologies that meet device performance roadmaps for 90nm down to 32nm and beyond, that make state-of-the-art devices possible. These include: strained silicon, atomic layer deposition, low-k dielectrics, and rapid thermal anneal. Achieving package-level integration requires stacked die solutions that can house multiple dies in a common package to produce a single device. ASMPT is developing advanced wire bonding techniques that control the shape of the wire loop, and through our partnership with Microbonds, Inc. is working with insulated wires, which allow more crossed connections in a device. Dies from different supply chains with different feature sizes can now packaged by stacked die bonding, or mixing flip chip and wire bonding, techniques. With these assembly technologies in house, ASMPT is participating in the emergence of package-level integration that augments silicon-scaling advances taking place at ASMI, and throughout our industry. ASMI 2005 ANNUAL REPORT 10

13 Financial Highlights and Selected Comparative Financial Data Corporate Governance At ASMI, we are committed to upholding sound corporate governance and ethics practices. Management is diligent in complying with the corporate governance requirements and best practices set out by NASDAQ, the U.S. Securities and Exchange Commission ( SEC ), the Netherlands Authority for the Financial Markets ( AFM ) and the Dutch Corporate Governance Code. Throughout the Company, we are committed to adhering to our oversight responsibilities. Our two-tier board of directors segregates the responsibilities for supervision and execution of our business strategy, while members of the Supervisory Board have clearly established roles and committee responsibilities. All of our employees are required to comply with the Code of Ethics, as well as other Company policies that are based on the highest level of business ethics. A detailed description of our policies and practices is available in the Corporate Governance section of this report. Employee Innovators One of the most important components of our Company vision is the extraordinary skill, dedication, and commitment of its 9,451 employees worldwide. These are our innovators and the creators of intellectual capital that have helped put ASMI in the forefront of technology. Our employees are also the key in our highly responsive customer support programs that have received broad industry recognition over the past year. We applaud their individual contributions and teamwork that augment our Company s achievements. Outlook We enter 2006 with optimism. With the industry poised for rational growth in both front- and back-end segments, we expect ASMI to benefit from the improved industry climate, while building on our impressive competitive wins of It s clear from our strategic progress and partnerships, and industry recognition this year, that ASMI continues to advance its standing in our highly competitive global industry. We intend to further capitalize on our achievements in the year ahead, in our drive toward sustained profitable growth. We look forward to the opportunities to come. Arthur H. del Prado President and Chief Executive Officer Bilthoven, February 21, ANNUAL REPORT ASMI

14 Report from the Supervisory Board Financial Statements We herewith present to you the 2005 Annual Report and Annual Accounts on Form 20-F in accordance with US GAAP as prepared by the Management Board and reviewed by the Supervisory Board. Deloitte Accountants, our independent auditors, have audited these Annual Accounts and issued an unqualified opinion. Their report appears on page F-2 of the Form 20-F. Supervision The supervision over the policy decisions and actions of the Management Board is entrusted to the Supervisory Board, which, in the two tier structure prescribed by Dutch law, is a separate body and completely independent from the Management Board. Meetings of the Supervisory Board In the six meetings that the Supervisory Board had with the Management Board during the year 2005 the main topic of discussion was the best way to make the Company s front-end operations profitable as this is the foremost challenge the Company has to meet. In this respect we noted that good progress has been made with the transfer of production of generic components to the Company s production facility in Singapore. The output of this facility is steadily improving and the quality and reliability of these products is excellent. We endorsed management s proposal to considerably reduce ASM NuTool s operations with a view to bring more focus in the Company s product range and concentrate on those products that are already in high volume production or reach that stage soon. We requested our colleague Mr. J.M.R. Danneels, who has an intimate knowledge of our industry and its developments, to make an assessment of the Company s front-end product portfolio. To this end he has visited the Company s facilities in North America, Japan, Singapore and Europe and reported to us that in his view the front-end product portfolio is very promising as a basis for expansion of profitable sales in the years to come. We further discussed and reviewed the Company s overall strategy, the ongoing operations, business risks, market and product developments, organization, management and financial performance. In detail, the Supervisory Board discussed the developments of the Company, also per product line; additional steps to improve front-end profitability, including the restructuring of ASM NuTool and in the TCP product line; the financing of the Company; the developments in Corporate Governance; as well as other strategic and operational issues. The Supervisory Board was very satisfied with the strong result of the Company s back-end operations, reinforcing the Company s leadership position in assembly and packaging. We pay tribute to the management that is responsible for delivering very good results over a long period of years. In this respect we also discussed the merits of the Company s business model, i.e. the combination of front-end and back-end operations, which is debated by some of the Company s shareholders. We decided to put this item for discussion on the agenda of the forthcoming Annual General Meeting of Shareholders where we hope to have an open and constructive exchange of views with the Company s shareholders. The Supervisory Board met once, without the Management Board present, to discuss the functioning of the Supervisory Board and its individual members; the relationship with the Management Board; the performance and composition of the Management Board as well as performance of its individual members. Corporate Governance The Supervisory Board is amongst others responsible for monitoring compliance with corporate governance standards and best practices in the United States and the Netherlands. The Supervisory Board believes that the Company complies with the currently prevailing requirements of the Sarbanes-Oxley Act and the recommendations of the Dutch Corporate Governance Code, apart from those discussed with the 2005 Annual General Meeting of Shareholders. A detailed description of Corporate Governance by the Company follows this report. Supervisory Board Composition At the Annual General Meeting of Shareholders on May 19, 2005 Mr. P.C. van den Hoek has been reappointed to the Supervisory Board and Mr. L.P.E.M. van den Boom has been appointed to the Supervisory Board. At the forthcoming General Meeting of Shareholders Mr. E.A. van Amerongen will retire by rotation from the Supervisory Board. We are ASMI 2005 ANNUAL REPORT 12

15 Financial Highlights and Selected Comparative Financial Data pleased to announce that he is available for reappointment and it is our intention to nominate him for reappointment. We have decided to expand the Supervisory Board to six members and propose to shareholders to appoint Mr. B.C. Brix in that vacancy. Proposals to effectuate these (re)appointments will be made to this year s Annual General Meeting of Shareholders. Mr. van den Hoek as partner of Stibbe, our Dutch outside legal counsel, is not considered to be independent under the Dutch Corporate Governance Code, but, he is considered to be independent under the NASDAQ regulations. All other members are considered to be independent under the NASDAQ regulations and the Dutch Corporate Governance Code. Management Board Composition Mr. H.D.J. Pietersma retired from his position as member of the Management Board as per May 10, 2005 to pursue other interests. Mr. R.L. de Bakker retired from his position as Chief Financial Officer of the Company as per May 31, The Supervisory Board thanks Mr. Pietersma and Mr. de Bakker for their valuable contribution over the past years. Mr. A.J.M. van der Ven was appointed the Company s new Chief Financial Officer as per June 1, We propose to the shareholders to appoint Messrs. C.D. del Prado and J.F.M. Westendorp as members of the Management Board as of the forthcoming Annual General Meeting of Shareholders. Supervisory Board Committees Audit Committee The role of the Audit Committee is described in its Charter, which is available on the Company s website. The Audit Committee consists of Mr. J. den Hoed (Chairman), Mr. van Amerongen and Mr. van den Boom. It is our intention to appoint Mr. Brix as a new member to the Audit Committee, upon his appointment to the Supervisory Board by the Annual General Meeting of Shareholders. In that capacity, he would replace Mr. van Amerongen as a member of the Audit Committee. The Audit Committee of the Supervisory Board held five meetings with the Management Board and Deloitte Accountants, the Company s independent auditors. The Company s internal risk management systems, the progress on implementing the Sarbanes Oxley 404 requirements, the IFRS conversion including the R&D policy, the Company s financing, the application of the accounting principles, the appointment of the Deloitte Accountants, the audit performed and its findings, the Annual Report and Annual Accounts, and the quarterly progress reports as prepared by the Management Board, were discussed. The Chairman of the Audit Committee met once with Deloitte Accountants, without members of the Management Board present, to discuss the audit scope and approach, independence of Deloitte Accountants and the performance of Deloitte Accountants. Nomination, Selection and Remuneration Committee The role of the Nomination, Selection and Remuneration Committee is described in its Charter, which is available on the Company s website. This committee advises the Supervisory Board on matters relating to the selection and nomination of new Management Board members as well as the remuneration policy of the members of the Management Board. This committee consists of Mr. van Amerongen (Chairman), Mr. Danneels and Mr. van den Hoek. The Nomination, Selection and Remuneration Committee held three meetings. During these meeting, members of the Management Board were present, except for the discussion of their own remuneration. On an annual basis, the Nomination, Selection and Remuneration Committee reports to the Supervisory Board on the application of the Remuneration Policy in the past year and the Remuneration Policy for the following years. The remuneration of the members of the Management Board is disclosed in Item 6.B "Directors, Senior Management and Employees Compensation" of the Annual Report on Form 20-F. The remuneration of the members of the Management Board during 2005 is not fully in accordance with the Remuneration Policy yet. Deviations are mainly due to the fact that elements of remuneration were agreed upon with individual members of the Management Board prior to finalization and acceptance of the current Remuneration Policy. The Company will attempt to achieve full compliance with the Remuneration Policy within a period of 2 years ANNUAL REPORT ASMI

16 Report from the Supervisory Board (continued) The remuneration policy for the Management Board has been submitted to and adopted by the 2005 Annual General Meeting of Shareholders. Word of thanks The reinforcement of the leadership position in assembly and packaging activities and the strengthening of the wafer processing activities are the results of the continued efforts of our employees. Our employees develop, design, manufacture and service equipment and production and process solutions for our customers around the word. We are grateful to all of our worldwide employees for their contribution and their commitment to the Company. Supervisory Board, P.C. van den Hoek, Chairman E.A. van Amerongen L.P.E.M. van den Boom J.M.R. Danneels J. den Hoed Bilthoven, the Netherlands February 21, 2006 ASMI 2005 ANNUAL REPORT 14

17 Financial Highlights and Selected Comparative Financial Data ANNUAL REPORT ASMI

18 Corporate Governance General As we are listed on the NASDAQ Stock Market as well as on Euronext Amsterdam, we are required to comply with the Sarbanes-Oxley Act and several corporate governance requirements and best practices set out by NASDAQ, the U.S. Securities and Exchange Commission (SEC), the Netherlands Authority for the Financial Markets (AFM) and the Dutch Corporate Governance Code. The Dutch Corporate Governance Code contains principles and best practices for Dutch companies with listed shares. Our corporate governance policies with respect to the implementation of the Dutch Corporate Governance Code were discussed with our shareholders at the 2005 Annual General Meeting of Shareholders, including those best practice provisions that we did not comply with. The best practice provisions that we did not comply with and the reasons therefore are set out in our Annual Report 2004 and repeated hereafter where appropriate. At ASMI, we are committed to upholding the highest standards in corporate governance and ethics practices. We believe our numerous internal policies and procedures provide structure for the operation of ASMI that is consistent with the best interests of our shareholders and customers as well as the requirements of the law. We endeavor to ensure that our policies and procedures comply with both U.S. and Dutch corporate governance requirements, to the extent possible and desirable. In this report, we discuss our corporate governance structure. We will discuss any material changes in our corporate governance structure in the Annual General Meeting of Shareholders. Corporate Governance related documents are available on our website, including the Supervisory Board Profile, Supervisory Board Rules, Management Board Rules, the Audit Committee Charter, the Nomination, Selection and Remuneration Committee Charter, the Code of Ethics, the Whistleblower Policy, the Rules concerning Insider Trading and the Remuneration Policy. Management Board Responsibilities In addition to the duties of the Management Board stipulated by law and our Articles of Association, the Management Board has the following responsibilities: achieving the aims, strategy and policy and results of the Company; managing the risks associated with the activities of the Company; ensuring proper financing of the Company; and establishing and maintaining disclosure controls and procedures which ensure that all major financial information is known to the Management Board, so that the timeliness, completeness and accuracy of the external financial reporting are assured. The Management Board shall be guided by the interests of the Company, taking into consideration the interests of all stakeholders. The members of the Management Board are collectively responsible for managing the Company. They are collectively and individually accountable to the Supervisory Board and the General Meeting of Shareholders for the execution of the Management Board s responsibilities. The Management Board has the general authority to enter into binding agreements with third parties. The Management Board shall ensure that the Company has an adequate functioning Internal Risk Management and Control Framework. The Management Board shall periodically discuss with the Supervisory Board and the Audit Committee the internal risk management and control systems, including any significant changes that have been made and any major improvements that are planned. The Management Board shall timely provide to the Supervisory Board all information that they reasonably require for the fulfillment of their obligations and the exercise of their powers. The Management Board shall timely provide to the General Meeting of Shareholders all information that they reasonably require for the fulfillment of their obligations and the exercise of their powers, unless this would be contrary to an overriding interest of the Company. If the Management Board invokes an overriding interest, it must give reasons. ASMI 2005 ANNUAL REPORT 16

19 Financial Highlights and Selected Comparative Financial Data The Management Board is responsible for the quality and completeness of financial and other reports, which are publicly disclosed by or on behalf of the Company, including all reports and documents the Company is required to file with regulatory agencies. Conflicts of interest Each Management Board member shall immediately report any potential conflict of interest to the Chairman of the Supervisory Board and to the other Management Board members. A Management Board member shall in such case provide the Chairman of the Supervisory Board and the other Management Board members with all information relevant to the conflict. Appointment, suspension and dismissal The Supervisory Board may nominate two candidates for each vacant seat on the Management Board, one of which the Supervisory Board will recommend to the shareholders for appointment. The nomination of the recommended candidate is binding, and therefore the recommended candidate will be elected by the shareholders unless rejected by a qualified majority vote of at least two-thirds of the votes cast, representing more than one half of the issued share capital. If the recommended candidate is rejected, the second nominee will be appointed unless similarly rejected. The appointment of Mr. van der Ven to the Management Board in 2005 followed the 4 year term, as recommended by the Dutch Corporate Governance Code. Appointments already existing before 2005, which run for an indefinite period of time, will not be amended. Our Articles of Association do not limit the term of office for our Management Board members. A Management Board member can be suspended at any time by the Supervisory Board. The shareholders may at any time suspend and dismiss Management Board members by a qualified majority vote of two-thirds of the votes cast, representing more than one half of the issued share capital. Remuneration The remuneration of individual members of the Management Board is decided upon by the Supervisory Board on the recommendations by the Nomination, Selection and Remuneration Committee of the Supervisory Board, based on the Company s Remuneration Policy. The remuneration structure includes five elements: base salary, annual incentive (bonus), long term incentive (stock options), pension and other arrangements. The remuneration structure mirrors short-term and long-term elements of the responsibilities of members of the Management Board. ASMI has adopted various stock option plans, which have been submitted to and adopted by the General Meeting of Shareholders, most recently at the 2001 Annual General Meeting of Shareholders. These plans, however, do not specify or require pre-specified performance criteria as now required for members of the Management Board by the Dutch Corporate Governance Code. We are currently developing performance criteria that will be used in the future when granting stock options to members of the Management Board as a long term incentive. We will present the new long term incentive plan to shareholders during the Annual General Meeting of Shareholders in 2006 for approval. For further information regarding the remuneration of the Management Board, reference is made to the Remuneration Policy (available on our website), the Remuneration Report 2005 (available on our website), the Report of the Supervisory Board 2005, which is included in our Annual Report 2005, and Item 6.B Directors, Senior Management and Employees- Compensation and in Note 25 Board Remuneration of the Annual Report on Form 20-F. In general, we agree with the Dutch Corporate Governance Code that in most circumstances a maximum severance payment of one year for Management Board members is appropriate. However, we want to reserve the right to agree to different amounts in case we deem this to be required by the circumstances. Any deviations will be disclosed to the Annual General Meeting of Shareholders. Internal Risk Management and Control Framework The Management Board is responsible for designing, implementing and operating an adequate functioning Internal Risk Management and Control Framework in the Company. The purpose of this Framework is to identify and manage the strategic, operational, financial, financial reporting, and compliance risks to which the Company is exposed, to promote effectiveness and efficiency of the Company s operations, to promote reliable financial reporting and to promote compliance with laws and regulations. For a detailed discussion of our risk factors, see Item 3.D. "Risk Factors" of our Annual Report 2005 on Form 20-F ANNUAL REPORT ASMI

20 Corporate Governance (continued) Our Internal Risk Management and Control Framework is based on the COSO framework developed by the Committee of Sponsoring Organizations of the Treadway Commission (1992). The COSO framework aims to provide reasonable assurance regarding effectiveness and efficiency of an entity s operations, reliability of financial reporting, prevention of fraud and compliance with laws and regulations. Our Internal Risk Management and Control Framework has the following key components: Planning and control cycle The planning and control cycle consists of an annual business plan approved by the Management Board and the Supervisory Board, quarterly forecasts, quarterly operational reviews and monthly financial reporting, including monthly reviews with the management of the operating units. Code of Ethics and Whistleblower Policy Our Code of Ethics is applicable to all of our employees, including our CEO, CFO and controllers. It is designed to promote honest and ethical conduct and timely and accurate disclosure in our periodic financial reports. Our Whistleblower Policy provides for the reporting of alleged violations of the Code of Ethics and alleged irregularities of a financial nature by Company employees or other stakeholders to the Management Board and/or the Supervisory Board without any fear of reprisal against the individual that reports the violation or irregularity. Disclosure Controls and Procedures The Disclosure Committee assists the Management Board in overseeing the Company s disclosure activities and to ensure compliance with applicable disclosure requirements arising under U.S. and Netherlands law and regulatory requirements. The Disclosure Committee obtains information for its recommendations from the operational and financial reviews, letters of representation which include a risk and internal control self assessment, input from the documentation and assessment of our internal controls over financial reporting and input from risk management activities during the year. The Disclosure Committee comprises various members of senior management. Risk Management and Internal Controls We have implemented financial policies and procedures, including accounting policies, and non-financial policies and procedures to ensure control by the Management Board over the Company s operations. Managing directors and finance directors of our main subsidiaries annually sign a detailed letter of representation with regard to financial reporting, internal controls and ethical principles. We are currently expanding our risk management policies, internal control documentation and assessment of such internal controls to provide further assurance regarding the reliability of our financial reporting. We are assessing our internal controls over financial reporting to comply with Section 404 of the Sarbanes-Oxley Act, beginning with our Annual Report on Form 20-F for the year ending December 31, Accordingly, we are documenting, evaluating, and expanding as necessary our internal control systems over financial reporting to enable us to comply by December 31, The internal assessment of our internal controls over financial reporting to comply with Section 404 of the Sarbanes-Oxley Act must be attested by our independent registered public accounting firm. We have further enhanced our identification and assessment of our strategic, operational, financial, financial reporting, and compliance risks and are in the process of rolling these processes out to our operating entities and embedding them in our standard business processes. The results of these assessments have been discussed with our Audit Committee in In 2006, further results will be discussed with our Audit Committee. Based on an evaluation and recommendation by the Disclosure Committee, the Chief Executive Officer and the Chief Financial Officer concluded that the design and operation of our disclosure controls and procedures were effective as of December 31, 2005 and that subsequently there have been no changes in our internal controls over financial reporting or in other factors that could significantly affect those controls. ASMI 2005 ANNUAL REPORT 18

2004 Annual Report. The Process of Innovation SM. International

2004 Annual Report. The Process of Innovation SM. International 2004 Annual Report The Process of Innovation SM ASM International Contents 3 Financial Highlights and Selected Comparative Financial Data 4 ASM International 8 Letter to Shareholders 12 Report from the

More information

2006 Statutory Annual Report

2006 Statutory Annual Report 2006 Statutory Annual Report The Process of InnovationSM ASM International 2006 Statutory Annual Report CONTENTS 3 Financial Highlights and Selected Comparative Financial Data 4 ASM International 8 Letter

More information

ASM CONTENTS. 3 Financial Highlights and Selected Comparative Financial Data. 4 ASM International. 8 Letter to Shareholders

ASM CONTENTS. 3 Financial Highlights and Selected Comparative Financial Data. 4 ASM International. 8 Letter to Shareholders CONTENTS 3 Financial Highlights and Selected Comparative Financial Data 4 ASM International 8 Letter to Shareholders 14 Report from the Supervisory Board 16 Corporate Governance 26 Corporate Information

More information

Contact : Robert L. de Bakker, + 31 30 229 85 40 Mary Jo Dieckhaus, + 1 212 986 29 00

Contact : Robert L. de Bakker, + 31 30 229 85 40 Mary Jo Dieckhaus, + 1 212 986 29 00 ASM International N.V. Contact : Robert L. de Bakker, + 31 30 229 85 40 Mary Jo Dieckhaus, + 1 212 986 29 00 ASM INTERNATIONAL REPORTS FINAL FOURTH QUARTER 2004 AND FULL YEAR 2004 OPERATING RESULTS Full

More information

Contact: Naud van der Ven + 31 30 229 85 40 Mary Jo Dieckhaus + 1 212 986 29 00 Erik Kamerbeek + 31 30 229 85 00

Contact: Naud van der Ven + 31 30 229 85 40 Mary Jo Dieckhaus + 1 212 986 29 00 Erik Kamerbeek + 31 30 229 85 00 ASM International N.V. Contact: Naud van der Ven + 31 30 229 85 40 Mary Jo Dieckhaus + 1 212 986 29 00 Erik Kamerbeek + 31 30 229 85 00 ASM INTERNATIONAL REPORTS THIRD QUARTER 2008 OPERATING RESULTS BILTHOVEN,

More information

Howelliott.Com Is A Major Supplier Of Aeroceo

Howelliott.Com Is A Major Supplier Of Aeroceo Almere, The Netherlands March 4, 2015 ASM INTERNATIONAL N.V. REPORTS FOURTH QUARTER 2014 RESULTS ASM International N.V. (NASDAQ: ASMI and Euronext Amsterdam: ASM) reports today its fourth quarter 2014

More information

International ANNUAL REPORT 2011

International ANNUAL REPORT 2011 International ANNUAL REPORT 2011 ANNUAL REPORT 2011 Trademarks ASM, the ASM International logo, Advance, Aurora, Dragon, Eagle, EmerALD, Epsilon, Polygon, Pulsar and Silcore are our registered trademarks.

More information

ASM INTERNATIONAL N.V. REPORTS THIRD QUARTER 2015 RESULTS

ASM INTERNATIONAL N.V. REPORTS THIRD QUARTER 2015 RESULTS Almere, The Netherlands October 28, 2015 ASM INTERNATIONAL N.V. REPORTS THIRD QUARTER 2015 RESULTS ASM International N.V. (Euronext Amsterdam: ASM) today reports its third quarter 2015 operating results

More information

2010 Annual Report. The Process of Innovation SM. ASM International

2010 Annual Report. The Process of Innovation SM. ASM International The Process of Innovation SM ASM International Trademarks ASM, the ASM International logo, Advance, Aurora, Dragon, Eagle, EmerALD, Epsilon, Polygon, Pulsar, Silcore and Stellar are our registered trademarks.

More information

2008 Annual Report. The Process of InnovationSM. International

2008 Annual Report. The Process of InnovationSM. International 2008 Annual Report The Process of InnovationSM ASM International 2008 Annual Report Trademarks ASM, the ASM International logo, Advance, Aurora, Carbonspeed, Coppermine, Dragon, Eagle, EmerALD, Epsilon,

More information

ASM INTERNATIONAL REPORTS FOURTH QUARTER 2009 AND FULL YEAR 2009 OPERATING RESULTS

ASM INTERNATIONAL REPORTS FOURTH QUARTER 2009 AND FULL YEAR 2009 OPERATING RESULTS ASM International N.V. ASM INTERNATIONAL REPORTS FOURTH QUARTER 2009 AND FULL YEAR 2009 OPERATING RESULTS ALMERE, THE NETHERLANDS, February 24, 2010 - ASM International N.V. (NASDAQ: ASMI and Euronext

More information

Second Quarter Results of Operations

Second Quarter Results of Operations PRESS RELEASE Besi Posts Strong Q2 and H1-15 Results. Significant Expansion of Net Cash Position vs. 14 Duiven, the Netherlands, July 23, - BE Semiconductor Industries N.V. (the Company" or "Besi") (Euronext

More information

ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched

ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched ASML 2015 Third Quarter Results Veldhoven, the Netherlands Forward looking statements

More information

How To Make Money From Semiconductor Production

How To Make Money From Semiconductor Production ASML 2011 Third Quarter Results Confirming expectation for record sales year Oct 12, 2011 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of 1995: the

More information

ASMPT ANNOUNCES 2013 INTERIM RESULTS * * * Net Profits Surged 28 Times Over The First Quarter

ASMPT ANNOUNCES 2013 INTERIM RESULTS * * * Net Profits Surged 28 Times Over The First Quarter [For Immediate Release] ASMPT ANNOUNCES 2013 INTERIM RESULTS * * * Net Profits Surged 28 Times Over The First Quarter Highlights Second Quarter of 2013 Group turnover of US$367.1 million, a significant

More information

Intel Reports Second-Quarter Results

Intel Reports Second-Quarter Results Intel Corporation 2200 Mission College Blvd. Santa Clara, CA 95054-1549 CONTACTS: Mark Henninger Amy Kircos Investor Relations Media Relations 408-653-9944 480-552-8803 mark.h.henninger@intel.com amy.kircos@intel.com

More information

UNAUDITED CONDENSED INTERIM CONSOLIDATED FINANCIAL STATEMENTS FOR THE SIX MONTHS ENDED JUNE 30, 2015

UNAUDITED CONDENSED INTERIM CONSOLIDATED FINANCIAL STATEMENTS FOR THE SIX MONTHS ENDED JUNE 30, 2015 BE SEMICONDUCTOR INDUSTRIES N.V. DUIVEN, THE NETHERLANDS UNAUDITED CONDENSED INTERIM CONSOLIDATED FINANCIAL STATEMENTS FOR THE SIX MONTHS ENDED JUNE 30, 2015 Contents Unaudited Condensed Interim Consolidated

More information

ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2

ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2 ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2 Three months ended, Nine months ended, Sep 28, Sep 27, Sep 28, Sep 27, 2014 2015 2014 2015 Net system sales 884.5 975.3 3,157.5 3,356.3

More information

TowerJazz Presents First Quarter 2011 Financial Results:

TowerJazz Presents First Quarter 2011 Financial Results: TowerJazz Presents First Quarter 2011 Financial Results: Revenue Up 6% Year-over-Year with 13% EBITDA Growth Ramping internal capacity and in advanced stage to purchase Micron s manufacturing facility

More information

BlackBerry Reports Software and Services Growth of 106 Percent for Q4 and 113 Percent for Fiscal 2016

BlackBerry Reports Software and Services Growth of 106 Percent for Q4 and 113 Percent for Fiscal 2016 April 1, FOR IMMEDIATE RELEASE BlackBerry Reports Software and Services Growth of 106 Percent for Q4 and 113 Percent for Fiscal Company reports positive free cash flow for eighth consecutive quarter and

More information

K&S to Acquire Assembléon Transaction Overview

K&S to Acquire Assembléon Transaction Overview K&S to Acquire Assembléon Transaction Overview Safe Harbor Statement In addition to historical statements, this presentation and oral statements made in connection with it may contain statements relating

More information

ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2

ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2 ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2 Three months ended, Six months ended, Jun 29, Jun 28, Jun 29, Jun 28, 2014 2015 2014 2015 Net system sales 1,243.0 1,134.5 2,273.0 2,381.0

More information

Nokia Conference Call Third Quarter 2004 Financial Results. Jorma Ollila Chairman and CEO Rick Simonson Senior Vice President and CFO

Nokia Conference Call Third Quarter 2004 Financial Results. Jorma Ollila Chairman and CEO Rick Simonson Senior Vice President and CFO Nokia Conference Call Third Quarter 2004 Financial Results Jorma Ollila Chairman and CEO Rick Simonson Senior Vice President and CFO Ulla James Vice President, Investor Relations October 14, 2004 15.00

More information

DIALOG SEMICONDUCTOR REPORTS FOR FOURTH QUARTER AND YEAR ENDED 31 DECEMBER 2012 RESULTS

DIALOG SEMICONDUCTOR REPORTS FOR FOURTH QUARTER AND YEAR ENDED 31 DECEMBER 2012 RESULTS DIALOG SEMICONDUCTOR REPORTS FOR FOURTH QUARTER AND YEAR ENDED 31 DECEMBER 2012 RESULTS Company confirms full year revenue of $774 million and record full year EBIT of $91 million Kirchheim/Teck, Germany,

More information

FOSSIL GROUP, INC. REPORTS FOURTH QUARTER AND FISCAL YEAR 2014 RESULTS; Fourth Quarter Net Sales of $1.065 Billion; Diluted EPS Increases 12% to $3.

FOSSIL GROUP, INC. REPORTS FOURTH QUARTER AND FISCAL YEAR 2014 RESULTS; Fourth Quarter Net Sales of $1.065 Billion; Diluted EPS Increases 12% to $3. FOSSIL GROUP, INC. REPORTS FOURTH QUARTER AND FISCAL YEAR 2014 RESULTS; Fourth Quarter Net Sales of $1.065 Billion; Diluted EPS Increases 12% to $3.00 Fiscal Year 2014 Net Sales Increase 8% to $3.510 Billion;

More information

Intel Reports Fourth-Quarter and Annual Results

Intel Reports Fourth-Quarter and Annual Results Intel Corporation 2200 Mission College Blvd. P.O. Box 58119 Santa Clara, CA 95052-8119 CONTACTS: Reuben Gallegos Amy Kircos Investor Relations Media Relations 408-765-5374 480-552-8803 reuben.m.gallegos@intel.com

More information

Investor Presentation Q3 2015

Investor Presentation Q3 2015 Investor Presentation Q3 2015 Veeco Instruments 1 Investor Presentation Veeco at a Glance > Leading deposition and etch solutions provider; Veeco enables high-tech electronic device manufacturing > Founded

More information

SYNOPSYS POSTS FINANCIAL RESULTS FOR FOURTH QUARTER AND FISCAL YEAR 2007

SYNOPSYS POSTS FINANCIAL RESULTS FOR FOURTH QUARTER AND FISCAL YEAR 2007 PRESS RELEASE INVESTOR CONTACT: Lisa L. Ewbank Synopsys, Inc. 650-584-1901 EDITORIAL CONTACT: Yvette Huygen Synopsys, Inc. 650-584-4547 yvetteh@synopsys.com SYNOPSYS POSTS FINANCIAL RESULTS FOR FOURTH

More information

ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2

ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2 ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2 Three months ended, Mar 30, Mar 29, 2014 2015 Net system sales 1,030.0 1,246.5 Net service and field option sales 366.5 403.4 Total net

More information

PRESS RELEASE RELEASE DATE: February 24, 2015

PRESS RELEASE RELEASE DATE: February 24, 2015 5N Plus Inc. Reports Results for Quarter and Fiscal Year Ended December 31, 2014 PRESS RELEASE RELEASE DATE: February 24, 2015 Montreal, Québec, February 24, 2015 5N Plus Inc. (TSX:VNP), the leading producer

More information

BlackBerry Reports 2015 Fiscal First Quarter GAAP Profitability

BlackBerry Reports 2015 Fiscal First Quarter GAAP Profitability NEWS RELEASE FOR IMMEDIATE RELEASE June 19, BlackBerry Reports 2015 Fiscal First Quarter GAAP Profitability Waterloo, ON BlackBerry Limited (NASDAQ: BBRY; TSX: BB), a global leader in mobile communications,

More information

Diodes Incorporated Reports Record Fourth Quarter and Full Year 2005 Results

Diodes Incorporated Reports Record Fourth Quarter and Full Year 2005 Results FOR IMMEDIATE RELEASE Diodes Incorporated Reports Record Fourth Quarter and Full Year 2005 Results Annual revenues up 15.6% to a record $214.8 million Annual net income increases 30.4% to a record $33.3

More information

ASM PACIFIC TECHNOLOGY LIMITED (Incorporated in the Cayman Islands with limited liability) (Stock Code: 0522)

ASM PACIFIC TECHNOLOGY LIMITED (Incorporated in the Cayman Islands with limited liability) (Stock Code: 0522) Hong Kong Exchanges and Clearing Limited and The Stock Exchange of Hong Kong Limited take no responsibility for the contents of this announcement, make no representation as to its accuracy or completeness

More information

OPTION REPORTS FULL YEAR 2013 RESULTS

OPTION REPORTS FULL YEAR 2013 RESULTS OPTION REPORTS FULL YEAR 2013 RESULTS Leuven, Belgium March 13, 2014 Option N.V. (EURONEXT Brussels: OPTI; OTC: OPNVY), a global leader in wireless connectivity, security and experience, today announced

More information

Mellanox Achieves Record Quarterly and Annual Revenue

Mellanox Achieves Record Quarterly and Annual Revenue PRESS RELEASE Mellanox Technologies, Ltd. Press/Media Contact Allyson Scott McGrath/Power Public Relations and Communications +1-408-727-0351 allysonscott@mcgrathpower.com Investor Contact Mellanox Technologies

More information

Company Presentation. February 2011. Sustainable Technologies Conference. June 8, 2011

Company Presentation. February 2011. Sustainable Technologies Conference. June 8, 2011 Company Presentation Sustainable Technologies Conference February 2011 June 8, 2011 Disclaimer This presentation contains forward-looking statements relating to the business, financial performance and

More information

ROFIN-SINAR REPORTS RESULTS FOR THE FIRST QUARTER OF FISCAL YEAR 2016

ROFIN-SINAR REPORTS RESULTS FOR THE FIRST QUARTER OF FISCAL YEAR 2016 - PRESS RELEASE - Contact: Katharina Manok ROFIN-SINAR 011-49-40-733-63-4256 - or - 734-416-0206 ROFIN-SINAR REPORTS RESULTS FOR THE FIRST QUARTER OF FISCAL YEAR 2016 Quarterly earnings per share increased

More information

ASM PACIFIC TECHNOLOGY LIMITED (Incorporated in the Cayman Islands with limited liability) (Stock Code: 0522)

ASM PACIFIC TECHNOLOGY LIMITED (Incorporated in the Cayman Islands with limited liability) (Stock Code: 0522) Hong Kong Exchanges and Clearing Limited and The Stock Exchange of Hong Kong Limited take no responsibility for the contents of this announcement, make no representation as to its accuracy or completeness

More information

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK The data included in the 2014 SIA Factbook helps demonstrate the strength and promise of the U.S. semiconductor industry and why it is critical for policymakers

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

THE NEW WORLD OF ADVANCED PACKAGING. Annual Report 2011

THE NEW WORLD OF ADVANCED PACKAGING. Annual Report 2011 THE NEW WORLD OF ADVANCED PACKAGING Annual Report 2011 Contents Report of the Board of Management 02 Company Profile 03 Key Highlights 2011 04 Letter to Shareholders 06 Strategy 08 Financial Review 11

More information

FOSSIL GROUP, INC. REPORTS THIRD QUARTER 2014 RESULTS. Third Quarter Net Sales Increase 10% to $894 Million; Diluted EPS Increases 24% to $1.

FOSSIL GROUP, INC. REPORTS THIRD QUARTER 2014 RESULTS. Third Quarter Net Sales Increase 10% to $894 Million; Diluted EPS Increases 24% to $1. FOSSIL GROUP, INC. REPORTS THIRD QUARTER RESULTS Third Quarter Net Sales Increase 10% to $894 Million; Diluted EPS Increases 24% to $1.96 Updates Full Year Guidance and Provides Fourth Quarter Guidance

More information

DIALOG SEMICONDUCTOR ANNOUNCES RESULTS FOR THE FIRST QUARTER OF 2012

DIALOG SEMICONDUCTOR ANNOUNCES RESULTS FOR THE FIRST QUARTER OF 2012 DIALOG SEMICONDUCTOR ANNOUNCES RESULTS FOR THE FIRST QUARTER OF 2012 Company reports a record first quarter revenue of $166.3 million, achieving strong year-onyear revenue growth of 69% Kirchheim/Teck,

More information

Hydrogenics Reports Fourth Quarter and Full Year 2015 Results

Hydrogenics Reports Fourth Quarter and Full Year 2015 Results PRESS RELEASE Hydrogenics Reports Fourth Quarter and Full Year 2015 Results Kolon, Alstom, and China Wins Highlight 2015 Achievements Mississauga, Ontario. March 9, 2016 Hydrogenics Corporation (NASDAQ:

More information

ASML - Summary US GAAP Consolidated Statements of Operations 1,2

ASML - Summary US GAAP Consolidated Statements of Operations 1,2 ASML - Summary US GAAP Consolidated Statements of Operations 1,2 (in millions EUR, except per share data) Six months ended, Jun 29, Jun 28, Jun 29, Jun 28, 2014 2015 2014 2015 Net system sales 1,243.0

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

BlackBerry Reports Strong Software Revenue and Positive Cash Flow for the Fiscal 2016 First Quarter

BlackBerry Reports Strong Software Revenue and Positive Cash Flow for the Fiscal 2016 First Quarter FOR IMMEDIATE RELEASE June 23, BlackBerry Reports Strong Software Revenue and Positive Cash Flow for the Fiscal 2016 First Quarter Waterloo, ON BlackBerry Limited (NASDAQ: BBRY; TSX: BB), a global leader

More information

RESEARCH IN MOTION REPORTS FOURTH QUARTER AND YEAR-END RESULTS FOR FISCAL 2009

RESEARCH IN MOTION REPORTS FOURTH QUARTER AND YEAR-END RESULTS FOR FISCAL 2009 FOR IMMEDIATE RELEASE April 2, 2009 RESEARCH IN MOTION REPORTS FOURTH QUARTER AND YEAR-END RESULTS FOR FISCAL 2009 Waterloo, Ontario Research In Motion Limited (RIM) (Nasdaq: RIMM; TSX: RIM), a world leader

More information

Dialog Semiconductor Q4 and FY 2011 Financial Results 22 February 2012

Dialog Semiconductor Q4 and FY 2011 Financial Results 22 February 2012 Dialog Semiconductor Q4 and FY 2011 Financial Results 22 February 2012 Forward Looking Statements This presentation contains forward-looking statements that reflect management s current views with respect

More information

Storage Wireless Wireline telecom

Storage Wireless Wireline telecom Storage Wireless Wireline telecom CML Microsystems Plc designs, manufactures and markets a range of semiconductors for global industrial and professional applications within the storage, wireless and wireline

More information

BLACKBERRY REPORTS THIRD QUARTER RESULTS FOR FISCAL 2014

BLACKBERRY REPORTS THIRD QUARTER RESULTS FOR FISCAL 2014 NEWS RELEASE FOR IMMEDIATE RELEASE December 20, 2013 BLACKBERRY REPORTS THIRD QUARTER RESULTS FOR FISCAL 2014 Waterloo, ON BlackBerry Limited (NASDAQ: BBRY; TSX: BB), a global leader in wireless innovation,

More information

Hitachi Smart Transformation Project

Hitachi Smart Transformation Project Hitachi Smart Transformation Project Hitachi IR Day 2014 June 12, 2014 Tatsuro Ishizuka Executive Vice President and Executive Officer CTrO Hitachi, Ltd. Hitachi Smart Transformation Project Contents 1.

More information

Mellanox Achieves Record Revenue in the Third Quarter 2015

Mellanox Achieves Record Revenue in the Third Quarter 2015 PRESS RELEASE Press/Media Contact Allyson Scott McGrath/Power Public Relations and Communications +1-408-727-0351 allysonscott@mcgrathpower.com Investor Contact Mellanox Technologies +1-408-916-0012 ir@mellanox.com

More information

FIRESWIRL TECHNOLOGIES INC.

FIRESWIRL TECHNOLOGIES INC. FIRESWIRL TECHNOLOGIES INC. Management Discussion and Analysis of the Financial Condition and Results of Operation, for the three months ended March 31, 2007 and 2006. 1 MANAGEMENT DISCUSSION AND ANALYSIS

More information

Microsoft Cloud Strength Highlights Second Quarter Results

Microsoft Cloud Strength Highlights Second Quarter Results Microsoft Cloud Strength Highlights Second Quarter Results Commercial cloud annualized revenue run rate exceeds $9.4 billion; Windows 10 active on over 200 million devices REDMOND, Wash. January 28, 2016

More information

Nasdaq: ASYS. Amtech Systems, Inc. Solar & Semiconductor Solutions. J.S. Whang Chairman & Chief Executive Officer

Nasdaq: ASYS. Amtech Systems, Inc. Solar & Semiconductor Solutions. J.S. Whang Chairman & Chief Executive Officer Amtech Systems, Inc. Nasdaq: ASYS 25th LISTING ANNIVERSARY ASYS / NASDAQ J.S. Whang Chairman & Chief Executive Officer Brad Anderson Chief Financial Officer January 13-14, 2010 1 Safe Harbor Statement

More information

Consolidated Financial Review for the Second Quarter Ended September 30, 2014

Consolidated Financial Review for the Second Quarter Ended September 30, 2014 Consolidated Financial Review for the Second Quarter Ended September 30, 2014 TOKYO ELECTRON Oct 29, 2014 Company name: Tokyo Electron Limited URL: http://www.tel.com Telephone number: (03) 5561-7000 Stock

More information

FOR IMMEDIATE RELEASE

FOR IMMEDIATE RELEASE FOR IMMEDIATE RELEASE O-I REPORTS FULL YEAR AND FOURTH QUARTER 2014 RESULTS O-I generates second highest free cash flow in the Company s history PERRYSBURG, Ohio (February 2, 2015) Owens-Illinois, Inc.

More information

RESEARCH IN MOTION REPORTS YEAR-END AND FOURTH QUARTER RESULTS FOR FISCAL 2012

RESEARCH IN MOTION REPORTS YEAR-END AND FOURTH QUARTER RESULTS FOR FISCAL 2012 FOR IMMEDIATE RELEASE March 29, 2012 RESEARCH IN MOTION REPORTS YEAR-END AND FOURTH QUARTER RESULTS FOR FISCAL 2012 Waterloo, ON Research In Motion Limited (RIM) (Nasdaq: RIMM; TSX: RIM), a world leader

More information

NEWS RELEASE MFLEX ANNOUNCES THIRD QUARTER 2015 FINANCIAL RESULTS

NEWS RELEASE MFLEX ANNOUNCES THIRD QUARTER 2015 FINANCIAL RESULTS NEWS RELEASE Contact: Stacy Feit Investor Relations Tel: 213-486-6549 Email: investor_relations@mflex.com MFLEX ANNOUNCES THIRD QUARTER 2015 FINANCIAL RESULTS Fifth consecutive quarter of strong profitability

More information

Phoenix New Media Reports Second Quarter 2015 Unaudited Financial Results

Phoenix New Media Reports Second Quarter 2015 Unaudited Financial Results Phoenix New Media Reports Second Quarter 2015 Unaudited Financial Results 2Q15 Mobile Advertising Revenues Up 124.2% YOY Live Conference Call to be Held at 9:00 PM U.S. Eastern Time on August 11 BEIJING,

More information

Events & Presentations 02/11/2015. Strong Momentum and Results; Dividend Increase to $0.21

Events & Presentations 02/11/2015. Strong Momentum and Results; Dividend Increase to $0.21 1 of 13 05/03/ 13:56 Home > Investor Relations > News & Events > News News Events & Presentations + 02/11/ Strong Momentum and Results; Dividend Increase to $0.21 SAN JOSE, CA -- (Marketwired) -- 02/11/15

More information

COLUMBUS, Georgia July 24, 2012 Aflac Incorporated today reported its second quarter results.

COLUMBUS, Georgia July 24, 2012 Aflac Incorporated today reported its second quarter results. News Release FOR IMMEDIATE RELEASE AFLAC INCORPORATED ANNOUNCES SECOND QUARTER RESULTS, RAISES AFLAC JAPAN SALES OUTLOOK, AFFIRMS 2012 AND 2013 OPERATING EPS TARGETS, DECLARES THIRD QUARTER CASH DIVIDEND

More information

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer & Interconnect Technologies Outline Low cost RFID Tags & Labels Standard applications and

More information

30 January 1998 FOR IMMEDIATE RELEASE

30 January 1998 FOR IMMEDIATE RELEASE Proposed acquisition of LGT Asset Management Division and Preliminary Results for the year ended ember 1997 30 January 1998 FOR IMMEDIATE RELEASE The Board of AMVESCAP PLC has entered into an agreement

More information

Baidu Announces Second Quarter 2014 Results

Baidu Announces Second Quarter 2014 Results Baidu Announces Second Quarter 2014 Results BEIJING, China, July 24, 2014 Baidu, Inc. (NASDAQ: BIDU), the leading Chinese language Internet search provider, today announced its unaudited financial results

More information

Fiscal Responsibilities of a Pharmaceutical Division

Fiscal Responsibilities of a Pharmaceutical Division Hong Kong Exchanges and Clearing Limited and The Stock Exchange of Hong Kong Limited take no responsibility for the contents of this announcement, make no representation as to its accuracy or completeness

More information

Staples, Inc. Announces First Quarter 2016 Performance

Staples, Inc. Announces First Quarter 2016 Performance Media Contact: Mark Cautela 508-253-3832 Investor Contact: Chris Powers/Scott Tilghman 508-253-4632/1487 Staples, Inc. Announces First Quarter 2016 Performance FRAMINGHAM, Mass., May 18, 2016 Staples,

More information

A n n u a l R e p o r t 2 0 0 4

A n n u a l R e p o r t 2 0 0 4 Annual Report 2004 Contents Contents Company Profile page 5 Letter to Shareholders page 10 Report of the Supervisory Board page 16 Corporate Governance page 23 Form 20 - F page 29 Corporate Information

More information

JD.com Announces Third Quarter 2014 Results

JD.com Announces Third Quarter 2014 Results JD.com Announces Third Quarter Results GMV in Q3 Increases by 111% Year-Over-Year Beijing, China---November 17, ---JD.com, Inc. ("JD.com" or the "Company") (NASDAQ: JD), China's largest online direct sales

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

HOPKINTON, Mass., April 24, 2013 - HIGHLIGHTS:

HOPKINTON, Mass., April 24, 2013 - HIGHLIGHTS: HOPKINTON, Mass., April 24, 2013 - HIGHLIGHTS: Record first-quarter revenue, non-gaap net income, non-gaap EPS, operating cash flow and free cash flow Year-over-year revenue growth across U.S. and major

More information

Microsoft Cloud and Hardware Results Drives Fourth Quarter Performance

Microsoft Cloud and Hardware Results Drives Fourth Quarter Performance Microsoft Cloud and Hardware Results Drives Fourth Quarter Performance Commercial cloud annualized revenue run rate now exceeds $8 billion; Computing and Gaming Hardware, including Xbox and Surface, deliver

More information

GAP INC. REPORTS FOURTH QUARTER AND FISCAL YEAR 2014 RESULTS

GAP INC. REPORTS FOURTH QUARTER AND FISCAL YEAR 2014 RESULTS GAP INC. REPORTS FOURTH QUARTER AND FISCAL YEAR 2014 RESULTS Fourth Quarter 2014 Earnings Per Share Grew 10 Percent; Up 20 Percent Excluding Foreign Exchange Impact Fiscal Year 2014 Earnings Per Share

More information

Mellanox Technologies, Ltd. Announces Record Quarterly Results

Mellanox Technologies, Ltd. Announces Record Quarterly Results PRESS RELEASE Press/Media Contacts Ashley Paula Waggener Edstrom +1-415-547-7024 apaula@waggeneredstrom.com USA Investor Contact Gwyn Lauber Mellanox Technologies +1-408-916-0012 gwyn@mellanox.com Israel

More information

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2015 Financial Results Conference Call

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2015 Financial Results Conference Call Page 1 CADENCE DESIGN SYSTEMS, INC. Second Quarter 2015 Financial Results Conference Call Remarks of Lip-Bu Tan, President and Chief Executive Officer, and Geoff Ribar, Senior Vice President and Chief

More information

Selected Financial Data (in thousands) 2002 2003 $ 108,266. $ 281,410 Gross Profit. $ 216,253 Operating Expenses $ 77,787 $ 87,328

Selected Financial Data (in thousands) 2002 2003 $ 108,266. $ 281,410 Gross Profit. $ 216,253 Operating Expenses $ 77,787 $ 87,328 Revenue Gross Margin (%) Operating Margin (%) Net Income (Loss) 281.4 26 51.7 72 77 77 77 171.2 15 32.9 108.3 115.9 4 4.1-8.6-9 2002 2003 2004 2002 2003 2004 2002 2003 2004 2002 2003 2004 Deferred Revenue

More information

Qualitative information regarding first-quarter settlement of accounts

Qualitative information regarding first-quarter settlement of accounts Qualitative information regarding first-quarter settlement of accounts (1) Qualitative information regarding consolidated operating results During the three months ended 30th June, 2013 (from 1st April,

More information

RESEARCH IN MOTION REPORTS SECOND QUARTER RESULTS

RESEARCH IN MOTION REPORTS SECOND QUARTER RESULTS FOR IMMEDIATE RELEASE September 16, RESEARCH IN MOTION REPORTS SECOND QUARTER RESULTS Waterloo, ON Research In Motion Limited (RIM) (Nasdaq: RIMM; TSX: RIM), a world leader in the mobile communications

More information

The order and purchase backlog of the Group as of September 30, 2015 amounted to USD 10,219 thousand.

The order and purchase backlog of the Group as of September 30, 2015 amounted to USD 10,219 thousand. Regulated Information PRESS RELEASE November 23 rd 2015 6 pm CET Q3 15 Report 1 Sales Revenues of USD 20,442 thousand Backlog as of September 30, 2015 of USD 10,219 thousand Proposed interim dividend of

More information

Annual Report 2010 INNOVATION IS OUR TRADITION

Annual Report 2010 INNOVATION IS OUR TRADITION Annual Report 2010 INNOVATION IS OUR TRADITION 1 Report of the Supervisory Board 31 Corporate Governance 35 41 Consolidated Statement of Financial Position 42 Consolidated Statement of Comprehensive Income

More information

GAP INC. REPORTS THIRD QUARTER RESULTS

GAP INC. REPORTS THIRD QUARTER RESULTS GAP INC. REPORTS THIRD QUARTER RESULTS SAN FRANCISCO November 19, 2015 Gap Inc. (NYSE: GPS) today reported results for the third quarter of fiscal year 2015 and updated its full-year fiscal 2015 outlook.

More information

THIRD QUARTER 2015 RECORD RESULTS REPORTED BY AMPHENOL CORPORATION

THIRD QUARTER 2015 RECORD RESULTS REPORTED BY AMPHENOL CORPORATION Amphenol News Release World Headquarters 358 Hall Avenue P. O. Box 5030 Wallingford, CT 06492-7530 Telephone (203) 265-8900 FOR IMMEDIATE RELEASE For Further Information: Craig A. Lampo Senior Vice President

More information

Halma has a very long record of growing its dividend, increasing it by 5% or more for every one of the last 35 years.

Halma has a very long record of growing its dividend, increasing it by 5% or more for every one of the last 35 years. Financial Review Long-term model delivering widespread growth This is another set of record results with widespread growth in all sectors and all regions. High returns were maintained and good cash generation

More information

Press release Regulated information

Press release Regulated information Press release Regulated information 26 February 2010 Annual results 2009 Press Katelijn Bohez T +32 56 23 05 71 Investor Relations Jacques Anckaert T +32 56 23 05 72 www.bekaert.com www.bekaert.mobi Bekaert

More information

Zebra Technologies Announces Record Sales for Second Quarter of 2006

Zebra Technologies Announces Record Sales for Second Quarter of 2006 FOR IMMEDIATE RELEASE Zebra Technologies Announces Record Sales for Second Quarter of 2006 Vernon Hills, IL, July 26, 2006 Zebra Technologies Corporation (NASDAQ: ZBRA) today announced that net income

More information

Management s Discussion and Analysis

Management s Discussion and Analysis Management s Discussion and Analysis 6 Financial Policy Sysmex regards increasing its market capitalization to maximize corporate value an important management objective and pays careful attention to stable

More information

AIXTRON Investor Presentation. Fiscal Year 2014 Results (February 24, 2015)

AIXTRON Investor Presentation. Fiscal Year 2014 Results (February 24, 2015) AIXTRON Investor Presentation Fiscal Year 2014 Results (February 24, 2015) DISCLAIMER Forward-Looking Statements 2 This document may contain forward-looking statements regarding the business, results of

More information

Diodes Incorporated Reports Record Second Quarter Results

Diodes Incorporated Reports Record Second Quarter Results FOR IMMEDIATE RELEASE Diodes Incorporated Reports Record Second Quarter Results Record revenues of $82.7 million, up 63% Record pro forma earnings of $12.9 million, up 68% Westlake Village, California,

More information

Mitsubishi Electric Announces Consolidated and Non-consolidated Financial Results for Fiscal 2016

Mitsubishi Electric Announces Consolidated and Non-consolidated Financial Results for Fiscal 2016 MITSUBISHI ELECTRIC CORPORATION PUBLIC RELATIONS DIVISION 7-3, Marunouchi 2-chome, Chiyoda-ku, Tokyo, 100-8310 Japan FOR IMMEDIATE RELEASE No. 3023 Investor Relations Inquiries Investor Relations Group,

More information

SUSS MICROTEC INVESTOR PRESENTATION. November 2015

SUSS MICROTEC INVESTOR PRESENTATION. November 2015 SUSS MICROTEC INVESTOR PRESENTATION November 2015 DISCLAIMER This presentation contains forward-looking statements relating to the business, financial performance and earnings of SUSS MicroTec AG and its

More information

compared to the fourth quarter of 2006, the third quarter of 2007, and to the year ended

compared to the fourth quarter of 2006, the third quarter of 2007, and to the year ended FOR IMMEDIATE RELEASE CaNT ACT: Susan Conway Director of Investor Relations Cognex Corporation Phone: (508) 650-3353 Email: susan. conway((i).coanex. com COGNEX CORPORATION ANNOUNCES FOURTH QUARTER RESULTS

More information

Management Discussion and Analysis For The 9 Months Ended, June 30 2015

Management Discussion and Analysis For The 9 Months Ended, June 30 2015 Management Discussion and Analysis For The 9 Months Ended, June 30 2015 The following discussion and analysis as of August 31, 2015 should be read in conjunction with the consolidated financial statements

More information

How To Profit From A Strong Dollar

How To Profit From A Strong Dollar For Immediate Release MERCER INTERNATIONAL INC. REPORTS STRONG 2015 THIRD QUARTER RESULTS ANNOUNCES QUARTERLY CASH DIVIDEND OF $0.115 NEW YORK, NY, October 29, 2015 - Mercer International Inc. (Nasdaq:

More information

*See note 4 to our Summary Financial Information table below concerning our current operational and reporting structure

*See note 4 to our Summary Financial Information table below concerning our current operational and reporting structure INTERIM REPORT 1(39) Nokia Corporation Interim Report for Q1 2014 FINANCIAL AND OPERATING HIGHLIGHTS First quarter 2014 highlights for continuing operations*: Nokia s non-ifrs diluted EPS in Q1 2014 of

More information

Sanford C. Bernstein Strategic Decisions Conference. May 2014. Tom Lynch Chairman and Chief Executive Officer

Sanford C. Bernstein Strategic Decisions Conference. May 2014. Tom Lynch Chairman and Chief Executive Officer Sanford C. Bernstein Strategic Decisions Conference May 2014 Tom Lynch Chairman and Chief Executive Officer FORWARD-LOOKING STATEMENTS AND NON-GAAP MEASURES Forward-Looking Statements -- This presentation

More information

Aastra Technologies Limited First Quarter ended March 31, 2003

Aastra Technologies Limited First Quarter ended March 31, 2003 Aastra Technologies Limited First Quarter ended March 31, 2003 AASTRA TECHNOLOGIES LIMITED MESSAGE TO OUR SHAREHOLDERS First Quarter ended March 31, 2003 To our Shareholders: Aastra Technologies Limited

More information

Ferrari posted a record Q3 2015 performance

Ferrari posted a record Q3 2015 performance Ferrari posted a record Q3 2015 performance Shipments were 1,949 units, up 21% Net revenues were up 9% (3% at constant currencies) to Euro 723 million EBIT reached Euro 141 million, 610bps margin increase

More information

Sapiens results in the first quarter represent a solid start to achieving our financial targets for the full year.

Sapiens results in the first quarter represent a solid start to achieving our financial targets for the full year. Sapiens Reports 12% Year-Over-Year Increase in Quarterly Revenue to $41 Million Non-GAAP Quarterly Operating Profit Increased by 58.5% Year-Over-Year Holon, Israel, May 6, 2015 Sapiens International Corporation,

More information