Ultra Thin Body and Buried oxide substrate supply chain

Size: px
Start display at page:

Download "Ultra Thin Body and Buried oxide substrate supply chain"

Transcription

1 1 Ultra Thin Body and Buried oxide substrate supply chain 2013 / 6 / 15 FD-SOI Workshop at Kyoto, Japan

2 2 Outline 1. Introduction of Shin Etsu 2. SOI Wafer Line Up 3. Quality Data of FD SOI Wafer 4. Capability and Conclusion

3 3 Outline 1. Introduction of Shin Etsu 2. SOI Wafer Line Up 3. Quality Data of FD SOI Wafer 4. Capability and Conclusion

4 4 SHIN ETSU GROUP MAJOR ELECTRONIC MATERIALS Semiconductor Silicon Quartz Products Synthetic Quartz Photomask Substrate Fiber Optic Preforms Quartz Stepper Lens Blanks Quartz Crucibles High Performance Polymer Composites Compound Semiconductors Processed Resin Materials Molding Compounds Rare Earth Magnets Pellicles Thermally Conductive Silicone Grease Photoresists Shin Etsu Handotai

5 5

6 6 SEH Total Solution of Wafer Supply From CZ to FD SOI

7 7 Outline 1. Introduction of Shin Etsu 2. SOI Wafer Line Up 3. Quality Data of FD SOI Wafer 4. Capability and Conclusion

8 8 Shin Etsu Handotai Silicon On Insulator 1988 BG SOI Production start at Nagano Denshi 1997 Introduce SmartCut Technology from SOITEC mm Thin SOI Production start mm Thin SOI Production start 2012 extend 10 years contract with SOITEC Nagano Denshi Chikuma shi, Nagano Thick SOI :100mm,125mm 150mm, 200mm Thin SOI : 150mm, 200mm Isobe Plant SOI Production Dept. Annaka shi, Gunma Thin SOI : 300mm

9 9 SEH SOI Process and SOI Application SOI Thickness ( micron ) Epi Thin Thick Bonded SOI PD-SOI MEMS Power Device BCD RF Thickness SOI BOX Si Substrate Thickness FIN-SOI 0.01 FD-SOI BOX Thickness ( micron )

10 10 Outline 1. Introduction of Shin Etsu 2. SOI Wafer Line Up 3. Quality Data of FD SOI Wafer 4. Capability and Conclusion

11 11 Requirement for FD SOI substrate Item SOI layer BOX layer Defect Roughness Requirement 12+/ 0.5nm (all point) Range < 1nm Thin BOX : 25nm Low defectivity RMS < 0.1nm SOI thickness BOX thickness HF defect LLS SOI (12nm) BOX ( 25nm) Handle wafer Roughness B.Doris et al., FD Workshop at SFO, 2012

12 12 Improvement of SOI thickness uniformity Optimization of oxidation condition Oxidation Donor wafer Range:1.12nm Range:0.11nm Implantation Optimization of implantation & Splitting condition Frequency 60% 50% 40% 30% 20% 10% 0% After optimization < 0.1nm < 0.2nm < 0.3nm < 0.4nm < 0.5nm < 0.6nm < 0.7nm < 0.8nm < 0.9nm < 1.0nm < 1.1nm < 1.2nm < 1.3nm < 1.4nm < 1.5nm < 1.6nm < 1.7nm < 1.8nm < 1.9nm < 2.0nm Range in a wafer [nm] Optimization of oxidation condition SOI thickness uniformity Handle wafer Bonding Splitting Smoothing anneal & Oxidation

13 SOI thickness SOI (12nm) BOX ( 25nm) 12nm SOI Handle wafer 25nm BOX Max Ave. Min EE=3mm. 41p/wf by ellipsometer 13 SOI thickness [nm] Target +/ 0.5nm is available 35% 30% 25% 20% 15% 10% 5% 0% Handle wafer 0.1nm 0.2nm 0.3nm 0.4nm 0.5nm 0.6nm 0.7nm 0.8nm 0.9nm 1.0nm 1.1nm 1.2nm 1.3nm 1.4nm 1.5nm 1.6nm 1.7nm 1.8nm 1.9nm < 2.0nm Relative Frequency Cumulative SOI thickness Range in a wafer 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0%

14 SOI (12nm) BOX ( 25nm) BOX thickness Handle wafer Max Ave. Min EE=3mm. 41p/wf by ellipsometer 14 BOX thickness [nm] Target +/ 0.5nm is available 45% 40% 35% 30% 25% 20% 15% 10% 5% 0% 0.1nm 0.2nm 0.3nm 0.4nm 0.5nm 0.6nm 0.7nm 0.8nm 0.9nm 1.0nm 1.1nm 1.2nm 1.3nm 1.4nm 1.5nm 1.6nm 1.7nm 1.8nm 1.9nm < 2.0nm Relative Frequency Cumulative BOX thickness Range in a wafer 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0%

15 15 Max 1Q Average Median 3Q Min 50 SOD: 0.09um up Defectivity SOI/BOX=12nm/25nm 50 Area: 0.5um up Measurement tool : SP2 Defects Map um up LLS [nm] Ave. 11.1cnt/wf 0.5um up LLS [nm] Ave. 4.1cnt/wf SOD: 11cnt Area: 4cnt 0 0 Low defect counts are available.

16 16 Surface roughness Oxidation Donor wafer Roughness = local thickness deviation Implantation SOI (12nm) BOX ( 25nm) Handle wafer Bonding Splitting Roughness [nm] Smoothing anneal & Oxidation Handle wafer Annealing Time AFM roughness is very close to ideal region. (RMS<0.1nm) After Smoothing anneal RMS: 0.11nm Rmax: 1.1nm 30um x 30um measurement

17 17 Outline 1. Introduction of Shin Etsu 2. SOI Wafer Line Up 3. Quality Data of FD SOI Wafer 4. Capability and Conclusion

18 18 SEH can produce FD SOI 1) Quality Achieved 2) Enough Experience ( See table on the right) SEH has already achieved required wafer quality for FD SOI. SOI thickness range =< 1nm Micro roughness is about 0.1nm RMS in AFM measurement. SEH is world s largest Si wafer supplier for over 40 years. (Polished wafer, Epitaxial wafer, Annealed wafer etc) SEH has 10 years experience in 300mm thin SOI mass production. ( for PD SOI device application SOI thickness = 50 90nm) 3) Enough factories for rapid expansion SEH can quickly expand the existing capacity to meet the demand increase. 4) Shin Etsu group is also stable in terms of finances. Moody s Rating : Aa3 (Shin Etsu Chemical Co., Ltd) Table TEM Observation 25nm BOX Handle AFM Mapping RMS: 0.11nm Rmax: 1.1nm 12nm SOI SOI Thickness Map 41p/wf by ellipsometer Range: 0.30nm

19 19 Thank you for your attention!

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016 Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms Christophe Maleville Substrate readiness 3 lenses view SOI Consortium C1 - Restricted Conference Tokyo 2016

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices Rapid Prototyping and Development of Microfluidic and BioMEMS Devices J. Sasserath and D. Fries Intelligent Micro Patterning System Solutions, LLC St. Petersburg, Florida (T) 727-522-0334 (F) 727-522-3896

More information

How To Make Money For The Shin-Etsu Group

How To Make Money For The Shin-Etsu Group C2=CCI For vital infrastructure PVC siding PVC is an ideal material for durable products that require a long useful life. It is used for home construction materials, such as exterior siding. Polyvinyl

More information

Figure 1 Wafer with Notch

Figure 1 Wafer with Notch Glass Wafer 2 SCHOTT is an international technology group with more than 125 years of experience in the areas of specialty glasses, materials and advanced technologies. With our high-quality products and

More information

European bespoke wafer processing & development solutions for : Grinding, CMP, Edge Treatment, Wafer Bonding, Dicing and Cleaning

European bespoke wafer processing & development solutions for : Grinding, CMP, Edge Treatment, Wafer Bonding, Dicing and Cleaning European bespoke wafer processing & development solutions for : Grinding, CMP, Edge Treatment, Wafer Bonding, Dicing and Cleaning Georges Peyre : Sales & Marketing Director SEMICON Europa Grenoble - 2014

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Evaluating Surface Roughness of Si Following Selected Lapping and Polishing Processes

Evaluating Surface Roughness of Si Following Selected Lapping and Polishing Processes Applications Laboratory Report 86 Evaluating Surface Roughness of Si Following Selected Processes Purpose polishing of samples is a common application and required for a variety of manufacturing and research

More information

Soitec. Eric Guiot, Manager R&D Soitec. 7 mars 2011 JSIam 2011

Soitec. Eric Guiot, Manager R&D Soitec. 7 mars 2011 JSIam 2011 Soitec Eric Guiot, Manager R&D Soitec 1 Agenda Soitec: company presentation Applications and market PhDs at Soitec 2 Mission Statement Supply innovative materials and technologies for the electronics and

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor

Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor U. Hofmann, Fraunhofer ISIT Itzehoe M. Aikio, VTT Finland Abstract Low cost laser scanners for environment

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration

Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration M G Kibria, F Zhang, T H Lee, M J Kim and M M R Howlader Dept. Electrical and Computer Engineering,

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Development of High-Speed High-Precision Cooling Plate

Development of High-Speed High-Precision Cooling Plate Hironori Akiba Satoshi Fukuhara Ken-ichi Bandou Hidetoshi Fukuda As the thinning of semiconductor device progresses more remarkably than before, uniformity within silicon wafer comes to be strongly required

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

Advanced materials & solutions for high h temperatures

Advanced materials & solutions for high h temperatures 2010 Advanced materials & solutions for high h temperatures t Mission To engineer innovative solutions for our customers High temperature Corrosion Mechanical wear 2010 From material to engineering solutions

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING Gim S. Chen, Ismail Kashkoush, and Rich E. Novak AKrion LLC 633 Hedgewood Drive, #15 Allentown, PA 1816, USA ABSTRACT Ozone-based HF chemistry

More information

SiC activities at Linköping University

SiC activities at Linköping University SiC activities at Linköping University A. Henry and E. Janzén SiC : Prof. Erik Janzen growth (bulk and epi) defect and characterisation Nitride : Prof. Bo Monemar growth optical characterisation Electronic

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

How do single crystals differ from polycrystalline samples? Why would one go to the effort of growing a single crystal?

How do single crystals differ from polycrystalline samples? Why would one go to the effort of growing a single crystal? Crystal Growth How do single crystals differ from polycrystalline samples? Single crystal specimens maintain translational symmetry over macroscopic distances (crystal dimensions are typically 0.1 mm 10

More information

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Introduction............................. 2. Electrostatic Charging of Samples in Photoemission Experiments............................

More information

Major LED manufacturing trends and challenges to support the general lighting application

Major LED manufacturing trends and challenges to support the general lighting application Major LED manufacturing trends and challenges to support the general lighting application Semicon Russia 2011, June 1st! Ralph Zoberbier Director Product Management Aligner Content" 1. SUSS MicroTec Introduction

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

SEMI Equipment and Materials Outlook. Daniel Tracy Senior Director Industry Research & Statistics Group at SEMI in San Jose, California

SEMI Equipment and Materials Outlook. Daniel Tracy Senior Director Industry Research & Statistics Group at SEMI in San Jose, California SEMI Equipment and Materials Outlook Daniel Tracy Senior Director Industry Research & Statistics Group at SEMI in San Jose, California Wednesday March 18, 2015 Outline o Fab Investments and Equipment Spending

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

Amorphous Transparent Conducting Oxides (TCOs) Deposited at T 100 C

Amorphous Transparent Conducting Oxides (TCOs) Deposited at T 100 C Amorphous Transparent Conducting Oxides (TCOs) Deposited at T 100 C John Perkins, Maikel van Hest, Charles Teplin, Jeff Alleman, Matthew Dabney, Lynn Gedvilas, Brian Keyes, Bobby To, David Ginley National

More information

Development of certified reference material of thin film for thermal diffusivity

Development of certified reference material of thin film for thermal diffusivity Development of certified reference material of thin film for thermal diffusivity Takashi Yagi, Thermophysical properties section, NMIJ/AIST Joshua Martin MML, National Institute of Standards and Technology

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Click to edit Master title style. The Prospects for Cost-Competitive Photovoltaics: From Nanoscale Science to Macroscale Manufacturing

Click to edit Master title style. The Prospects for Cost-Competitive Photovoltaics: From Nanoscale Science to Macroscale Manufacturing 1 The Prospects for Cost-Competitive Photovoltaics: From Nanoscale Science to Macroscale Manufacturing Jeffrey S. Nelson, Manager Nanostructure Physics Department Center for Integrated Nanotechnologies

More information

Spectroscopic Ellipsometry:

Spectroscopic Ellipsometry: Spectroscopic : What it is, what it will do, and what it won t do by Harland G. Tompkins Introduction Fundamentals Anatomy of an ellipsometric spectrum Analysis of an ellipsometric spectrum What you can

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Orchestrating Value ANNUAL REPORT 2013. Shin-Etsu Chemical Co., Ltd. For the year ended March 31, 2013

Orchestrating Value ANNUAL REPORT 2013. Shin-Etsu Chemical Co., Ltd. For the year ended March 31, 2013 Orchestrating Value ANNUAL REPORT 2013 For the year ended March 31, 2013 Shin-Etsu Chemical Co., Ltd. For vital infrastructure Orchestrating Value The Shin-Etsu Group is a global chemical manufacturer

More information

A PRODUCT OF NEMAG HOLLAND

A PRODUCT OF NEMAG HOLLAND USER MANUAL ROPE PEAR SOCKETS SIZE: 1-17 A PRODUCT OF NEMAG HOLLAND 1. INTRODUCTION 1.1 Field of application 1.2 Technical specifications of NEMAG Rope Pear Sockets 1.3 Technical specifications of the

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information

SUSS MicroTec - Capital Markets Day

SUSS MicroTec - Capital Markets Day SUSS MicroTec - Capital Markets Day Program 10:00 10:30 11:30 13:00 14:00 Welcome and Introduction Overview product lines: Mask Aligner Coater/Developer Substrate Bonder Photomask Equipment Site Visit

More information

Silicon, the test mass substrate of tomorrow? Jerome Degallaix The Next Detectors for Gravitational Wave Astronomy Beijing - 2015

Silicon, the test mass substrate of tomorrow? Jerome Degallaix The Next Detectors for Gravitational Wave Astronomy Beijing - 2015 Silicon, the test mass substrate of tomorrow? Jerome Degallaix The Next Detectors for Gravitational Wave Astronomy Beijing - 2015 Program of the talk... What we have now What we know about silicon What

More information

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie Recent developments in high bandwidth optical interconnects Brian Corbett Outline Introduction to photonics for interconnections Polymeric waveguides and the Firefly project Silicon on insulator (SOI)

More information

MOS (metal-oxidesemiconductor) 李 2003/12/19

MOS (metal-oxidesemiconductor) 李 2003/12/19 MOS (metal-oxidesemiconductor) 李 2003/12/19 Outline Structure Ideal MOS The surface depletion region Ideal MOS curves The SiO 2 -Si MOS diode (real case) Structure A basic MOS consisting of three layers.

More information

Lead-Free Universal Solders for Optical and MEMS Packaging

Lead-Free Universal Solders for Optical and MEMS Packaging Lead-Free Universal Solders for Optical and MEMS Packaging Sungho Jin Univ. of California, San Diego, La Jolla CA 92093 OUTLINE -- Introduction -- Universal Solder Fabrication -- Microstructure -- Direct

More information

Lapping and Polishing Basics

Lapping and Polishing Basics Lapping and Polishing Basics Applications Laboratory Report 54 Lapping and Polishing 1.0: Introduction Lapping and polishing is a process by which material is precisely removed from a workpiece (or specimen)

More information

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages by Lim Kok Hwa and Andy Chee STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 kokhwa.lim@statschippac.com; kenghwee.chee@statschippac.com

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

Graphene a material for the future

Graphene a material for the future Graphene a material for the future by Olav Thorsen What is graphene? What is graphene? Simply put, it is a thin layer of pure carbon What is graphene? Simply put, it is a thin layer of pure carbon It has

More information

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Heather Schriner, Brady Davies, Jeffry Sniegowski, M. Steven Rodgers, James Allen, Charlene Shepard Sandia National Laboratories

More information

Physics 441/2: Transmission Electron Microscope

Physics 441/2: Transmission Electron Microscope Physics 441/2: Transmission Electron Microscope Introduction In this experiment we will explore the use of transmission electron microscopy (TEM) to take us into the world of ultrasmall structures. This

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

A Study of Haze Generation as Thin Film Materials

A Study of Haze Generation as Thin Film Materials A Study of Haze Generation as Thin Film Materials Ju-Hyun Kang, Han-Sun Cha*, Sin-Ju Yang, Chul-Kyu Yang, Jin-Ho Ahn*, Kee-Soo Nam, Jong-Min Kim**, Manish Patil**, Ik-Bum Hur** and Sang-Soo Choi** Blank

More information

3D Printing with Desktop Stereolithography

3D Printing with Desktop Stereolithography F O R MLA B S W H ITE PA PER: 3D Printing with Desktop Stereolithography An Introduction for Professional Users June 2015 formlabs.com Table of Contents Executive Summary 3 Reaching New Frontiers in 3D

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

ELASTOCEM. Technical features. Applications. Two-component flexible, waterproofing and protective cementitious grout.

ELASTOCEM. Technical features. Applications. Two-component flexible, waterproofing and protective cementitious grout. Two-component flexible, waterproofing and protective cementitious grout. 1504-2 PI-MC-IR Technical features Two-component grout, based on cements, selected aggregates, special additives and synthetic polymers

More information

Basic Properties and Application Examples of PGS Graphite Sheet

Basic Properties and Application Examples of PGS Graphite Sheet Basic Properties and Application Examples of 1. Basic properties of Graphite sheet 2. Functions of Graphite sheet 3. Application Examples Presentation [Sales Liaison] Panasonic Electronic Devices Co.,

More information

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing Authors: Tom Dunn, Chris Lee, Mark Tronolone, Aric Shorey Corning Incorporated Corning, New York 14831 ShoreyAB@corning.com

More information

What is optical lithography? The optical system Production process Future and limits of optical lithography References. Optical lithography

What is optical lithography? The optical system Production process Future and limits of optical lithography References. Optical lithography Optical lithography Robin Nagel TUM 12. Januar 2009 Robin Nagel (TUM) Optical lithography 12. Januar 2009 1 / 22 1 What is optical lithography? 1 The optical system 1 Production process 1 Future and limits

More information

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Janet E. Semmens Sonoscan, Inc. 2149 E. Pratt Boulevard Elk Grove Village, IL 60007 USA Phone: (847)

More information

Fully dry PMMA transfer of graphene on h-bn

Fully dry PMMA transfer of graphene on h-bn Supplemental note: Fully dry transfer of graphene on h-bn using heating/cooling system T Uwanno 1, T Taniguchi 2, K Watanabe 2 and K Nagashio 1,3 1 Department of Materials Engineering, The University of

More information

Abrasive Products for Grinding and Finishing of Hard Coatings

Abrasive Products for Grinding and Finishing of Hard Coatings 3 Abrasive Products for Grinding and Finishing of Hard Coatings Application Guide Life after chrome: It s not the same old grind. Increasing restrictions on the use of hexavalent chrome have hastened the

More information

Mask Cleaning Processes and Challenges

Mask Cleaning Processes and Challenges Mask Cleaning Processes and Challenges Brian J. Grenon Grenon Consulting, Inc. 92 Dunlop Way Colchester, VT 05446 Phone: 802-862-4551 Fax: 802-658-8952 e-mail bgrenon@together.net Mask Supply Workshop

More information

Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting

Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting 3G Solar Technologies Multidisciplinary Workshop MRS Spring Meeting San Francisco, CA, 5 April 2010 Michael P.

More information

Copyright 2008 IEEE. Reprinted from ECTC2008 Proceedings.

Copyright 2008 IEEE. Reprinted from ECTC2008 Proceedings. Copyright 2008 IEEE. Reprinted from ECTC2008 Proceedings. This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Amkor

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Development of a 500-kV DC XLPE Cable System

Development of a 500-kV DC XLPE Cable System by Satoru Maruyama *, Noboru Ishii *, Michihiro Shimada *, Shinji Kojima * 2, Hideo Tanaka * 3, Mitsumasa Asano * 4, Tetsuya Yamanaka * 4, and Shin ichi Kawakami * 4 This paper describes development work

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Photolithography (source: Wikipedia)

Photolithography (source: Wikipedia) Photolithography (source: Wikipedia) For earlier uses of photolithography in printing, see Lithography. For the same process applied to metal, see Photochemical machining. Photolithography (also called

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore

More information

Material data sheet. EOS CobaltChrome MP1. Description

Material data sheet. EOS CobaltChrome MP1. Description EOS CobaltChrome MP1 EOS CobaltChrome MP1 is a cobalt-chrome-molybdenum-based superalloy powder which has been optimized especially for processing on EOSINT M systems. This document provides information

More information

Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium

Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium 90% added energy However can reaction can chemically

More information

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4 Wafer Manufacturing Reading Assignments: Plummer, Chap 3.1~3.4 1 Periodic Table Roman letters give valence of the Elements 2 Why Silicon? First transistor, Shockley, Bardeen, Brattain1947 Made by Germanium

More information

ALD Atomic Layer Deposition

ALD Atomic Layer Deposition Research - Services ALD Atomic Layer Deposition Atomic Layer Deposition is a deposition process for assembling of thin films on the nanometer scale. The self-limiting deposition of atomic monolayers occurs

More information

How To Increase Areal Density For A Year

How To Increase Areal Density For A Year R. Fontana¹, G. Decad¹, S. Hetzler² ¹IBM Systems Technology Group, ²IBM Research Division 20 September 2012 Technology Roadmap Comparisons for TAPE, HDD, and NAND Flash: Implications for Data Storage Applications

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

Active Nanocomposite Materials

Active Nanocomposite Materials Active Nanocomposite Materials Contact: Prof. Jorma Jokiniemi (jorma.jokiniemi@uef.fi) The aim of this project was to develop tailored functional nanocomposite materials for industrial applications. The

More information

Material data sheet. EOS StainlessSteel GP1 for EOSINT M 270. Description, application

Material data sheet. EOS StainlessSteel GP1 for EOSINT M 270. Description, application EOS StainlessSteel GP1 for EOSINT M 270 A number of different materials are available for use with EOSINT M systems, offering a broad range of e-manufacturing applications. EOS StainlessSteel GP1 is a

More information

Excerpt Direct Bonded Copper

Excerpt Direct Bonded Copper xcerpt irect Bonded Copper Presented by ouglas C. Hopkins, Ph.. 312 Bonner Hall University at Buffalo Buffalo, Y 14620-1900 607-729-9949, fax: 607-729-7129 Authors thank Curamik lectronics A member of

More information

SEMI Microelectronic Manufacturing Supply Chain Quarterly Market Data - CYQ1 2016 www.semi.org/marketinfo

SEMI Microelectronic Manufacturing Supply Chain Quarterly Market Data - CYQ1 2016 www.semi.org/marketinfo SEMI Microelectronic Manufacturing Supply Chain Quarterly Market Data - CYQ1 2016 www.semi.org/marketinfo March 2016 Economic Trends Weakening Currency, especially Yen & Euro, dampened 2015 industry figures

More information

EXPANDED POLYSTYRENE (EPS) INSULATION BOARD SPECIFICATIONS

EXPANDED POLYSTYRENE (EPS) INSULATION BOARD SPECIFICATIONS EXPANDED POLYSTYRENE (EPS) INSULATION BOARD SPECIFICATIONS 1. SCOPE 1.1 This specification covers the type, physical properties and dimensions of Expanded Polystyrene Insulation Board intended for use

More information

Solid State Electronics and Photonics Electrical and Computer Engineering The Ohio State University

Solid State Electronics and Photonics Electrical and Computer Engineering The Ohio State University Solid State Electronics and Photonics Electrical and Computer Engineering The Ohio State University An Overview for Prospective Students http://www.ece.osu.edu/ssep SSEP Area: Who Are We? First Row Betty

More information

WELCOME TO VIASION. www.viasion.com

WELCOME TO VIASION. www.viasion.com WELCOME TO VIASION www.viasion.com BRIEF INTRODUCTION Viasion Technology Co., Ltd is a professional Printed Circuit Board (PCB) manufacturer in China. With around 1500 employees totally in 2 different

More information

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include:

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include: CVD SILICON CARBIDE CVD SILICON CARBIDE is the ideal performance material for design engineers. It outperforms conventional forms of silicon carbide, as well as other ceramics, quartz, and metals in chemical

More information

Material Requirements For 3D IC and Packaging Presented by: W. R. Bottoms

Material Requirements For 3D IC and Packaging Presented by: W. R. Bottoms Material Requirements For 3D IC and Packaging Presented by: W. R. Bottoms Frontiers of Characterization and Metrology for Nanoelectronics Hilton Dresden April 14-16, 2015 Industry Needs Are Changing Moore

More information

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Kenji MAWATARI, Koich SAMESHIMA, Mitsuyoshi MIYAI, Shinya MATSUDA Abstract We developed a new inkjet head by applying MEMS

More information

Name: Class: Date: Multiple Choice Identify the letter of the choice that best completes the statement or answers the question.

Name: Class: Date: Multiple Choice Identify the letter of the choice that best completes the statement or answers the question. Class: Date: Minerals Study Guide Modified True/False Indicate whether the sentence or statement is true or false. If false, change the identified word or phrase to make the sentence or statement true.

More information

Design of inductors and modeling of relevant field intensity

Design of inductors and modeling of relevant field intensity 3. Growth of shaped Si single crystals (FZ) Design of inductors and modeling of relevant field intensity Main cut Schematic of inductor for large square FZ crystals z-component of the field intensity for

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information