Digital Integrated Circuits Lecture 10: Wires

Size: px
Start display at page:

Download "Digital Integrated Circuits Lecture 10: Wires"

Transcription

1 Digital Integrated Circuits Lecture 10: Wires Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University DIC-Lec10 1

2 Outline Introduction Wire Resistance Wire Capacitance Wire RC Delay Crosstalk Wire Engineering Repeaters DIC-Lec10 2

3 Introduction Chips are mostly made of wires called interconnect In stick diagram, wires set size Transistors are little things under the wires Many layers of wires Wires are as important as transistors Speed Power Noise Alternating layers run orthogonally DIC-Lec10 3

4 Wire Geometry Pitch = w + s Aspect ratio: AR = t/w Old processes had AR << 1 Modern processes have AR 2 Pack in many skinny wires w s l t h DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 4

5 Layer Stack AMI 0.6 μm process has 3 metal layers Modern processes use metal layers Example: Intel 180 nm process M1: thin, narrow (< 3λ) High density cells M2-M4: thicker For longer wires M5-M6: thickest For V DD, GND, clk Layer T (nm) W (nm) S (nm) AR Substrate DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 5

6 Wire Resistance ρ = resistivity (Ω*m) R = ρ l t w w l t DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 6

7 Wire Resistance ρ = resistivity (Ω*m) ρ l R = = R t w l w R = sheet resistance (Ω/) is a dimensionless unit(!) Count number of squares w l w w R = R * (# of squares) l l t t 1 Rectangular Block R = R (L/W) Ω 4 Rectangular Blocks R = R (2L/2W) Ω = R (L/W) Ω DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 7

8 Choice of Metals Until 180 nm generation, most wires were aluminum Modern processes often use copper Cu atoms diffuse into silicon and damage FETs Must be surrounded by a diffusion barrier Metal Silver (Ag) Copper (Cu) Gold (Au) Aluminum (Al) Tungsten (W) Molybdenum (Mo) Bulk resistivity (μω*cm) DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 8

9 Sheet Resistance Typical sheet resistances in 180 nm process Layer Sheet Resistance (Ω/) Diffusion (silicided) 3-10 Diffusion (no silicide) Polysilicon (silicided) 3-10 Polysilicon (no silicide) Metal Metal Metal Metal Metal Metal DIC-Lec10 9

10 Contacts Resistance Contacts and vias also have 2-20 Ω Use many contacts for lower R Many small contacts for current crowding around periphery DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 10

11 Wire Capacitance Wire has capacitance per unit length To neighbors To layers above and below C total = C top + C bot + 2C adj s w layer n+1 h 2 C top t layer n h 1 C bot C adj layer n-1 DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 11

12 Capacitance Trends Parallel plate equation: C = εa/d Wires are not parallel plates, but obey trends Increasing area (W, t) increases capacitance Increasing distance (s, h) decreases capacitance Dielectric constant ε = kε 0 ε 0 = 8.85 x F/cm k = 3.9 for SiO 2 Processes are starting to use low-k dielectrics k 3 (or less) as dielectrics use air pockets DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 12

13 M2 Capacitance Data Typical wires have ~ 0.2 ff/μm Compare to 2 ff/μm for gate capacitance C total (af/μm) M1, M3 planes s = 320 s = 480 s = 640 s= Isolated s = 320 s = 480 s = 640 s= w (nm) DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 13

14 Diffusion & Polysilicon Diffusion capacitance is very high (about 2 ff/μm) Comparable to gate capacitance Diffusion also has high resistance Avoid using diffusion runners for wires! Polysilicon has lower C but high R Use for transistor gates Occasionally for very short wires between gates DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 14

15 Lumped Element Models Wires are a distributed system Approximate with lumped element models N segments R R/N R/N R/N R/N C C/N C/N C/N C/N R R R/2 R/2 C C/2 C/2 C L-model π-model T-model 3-segment π-model is accurate to 3% in simulation L-model needs 100 segments for same accuracy! Use single segment π-model for Elmore delay DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 15

16 Example Metal2 wire in 180 nm process 5 mm long 0.32 μm wide Construct a 3-segment π-model R = C permicron = DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 16

17 Example Metal2 wire in 180 nm process 5 mm long 0.32 μm wide Construct a 3-segment π-model R = 0.05 Ω/ => R = 781 Ω C permicron = 0.2 ff/μm => C = 1 pf 260 Ω 167 ff 167 ff 260 Ω 167 ff 167 ff 260 Ω 167 ff 167 ff DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 17

18 Wire RC Delay Estimate the delay of a 10x inverter driving a 2x inverter at the end of the 5mm wire from the previous example. R = 2.5 kω*μm for gates Unit inverter: 0.36 μm nmos, 0.72 μm pmos 781 Ω 690 Ω 500 ff 500 ff 4 ff t pd = 1.1 ns Driver Wire Load DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 18

19 Crosstalk A capacitor does not like to change its voltage instantaneously. A wire has high capacitance to its neighbor. When the neighbor switches from 1-> 0 or 0->1, the wire tends to switch too. Called capacitive coupling or crosstalk. Crosstalk effects Noise on nonswitching wires Increased delay on switching wires DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 19

20 Crosstalk Delay Assume layers above and below on average are quiet Second terminal of capacitor can be ignored Model as C gnd = C top + C bot Effective C adj depends on behavior of neighbors Miller effect A B C adj C gnd C gnd B ΔV C eff(a) MCF Constant Switching with A Switching opposite A DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 20

21 Crosstalk Delay Assume layers above and below on average are quiet Second terminal of capacitor can be ignored Model as C gnd = C top + C bot Effective C adj depends on behavior of neighbors Miller effect A B C adj C gnd C gnd B ΔV C eff(a) MCF Constant V DD C gnd + C adj 1 Switching with A 0 C gnd 0 Switching opposite A 2V DD C gnd + 2 C adj 2 DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 21

22 Crosstalk Noise Crosstalk causes noise on nonswitching wires If victim is floating: model as capacitive voltage divider C adj Δ Vvictim = Δ Cgnd v + Cadj V aggressor Aggressor ΔV aggressor Victim C adj C gnd-v ΔV victim DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 22

23 Driven Victims Usually victim is driven by a gate that fights noise Noise depends on relative resistances Victim driver is in linear region, agg. in saturation If sizes are same, R aggressor = 2-4 x R victim Cadj 1 Δ Vvictim = ΔV C + C 1+ k gnd v adj aggressor ΔV aggressor R aggressor C gnd-a Aggressor C adj k τ aggressor = = τ ( + ) ( + ) R C C aggressor gnd a adj R C C victim victim gnd v adj R victim C gnd-v Victim ΔV victim DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 23

24 Coupling Waveforms Simulated coupling for C adj = C victim 1.8 Aggressor Victim (undriven): 50% Victim (half size driver): 16% Victim (equal size driver): 8% Victim (double size driver): 4% t (ps) DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 24

25 Noise Implications So what if we have noise? If the noise is less than the noise margin, nothing happens Static CMOS logic will eventually settle to correct output even if disturbed by large noise spikes But glitches cause extra delay Also cause extra power from false transitions Dynamic logic never recovers from glitches Memories and other sensitive circuits also can produce the wrong answer DIC-Lec10 25

26 Wire Engineering Goal: achieve delay, area, power goals with acceptable noise Degrees of freedom: Width 1.6 Spacing Delay (ns): RC/ Pitch (nm) Coupling: 2C adj / (2C adj +C gnd ) Pitch (nm) Wire Spacing (nm) DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 26

27 Wire Engineering Goal: achieve delay, area, power goals with acceptable noise Degrees of freedom: Width 1.6 Spacing Layer Shielding Delay (ns): RC/ Pitch (nm) Coupling: 2C adj / (2C adj +C gnd ) Pitch (nm) Wire Spacing (nm) vdd a 0 a 1 gnd a 2 a 3 vdd vdd a 0 gnd a 1 vdd a 2 gnd a 0 b 0 a 1 b 1 a 2 b 2 DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 27

28 Repeaters R and C are proportional to l RC delay is proportional to l 2 Unacceptably great for long wires DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 28

29 Repeaters R and C are proportional to l RC delay is proportional to l 2 Unacceptably great for long wires Break long wires into N shorter segments Drive each one with an inverter or buffer Wire Length: l Driver Receiver l/n N Segments Segment l/n l/n Driver Repeater Repeater Repeater Receiver DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 29

30 Repeater Design How many repeaters should we use? How large should each one be? Equivalent Circuit Wire length l/n Wire Capacitance C w *l/n, Resistance R w *l/n Inverter width W (nmos = W, pmos = 2W) Gate Capacitance C *W, Resistance R/W DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 30

31 Repeater Design How many repeaters should we use? How large should each one be? Equivalent Circuit Wire length l Wire Capacitance C w *l, Resistance R w *l Inverter width W (nmos = W, pmos = 2W) Gate Capacitance C *W, Resistance R/W R w ln R/W C w l/2n C w l/2n C'W DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 31

32 Repeater Results Write equation for Elmore Delay Differentiate with respect to W and N Set equal to 0, solve t l = N pd l W = 2RC R C w w ( 2 2) = + RCw RC w RCR C w w ~60-80 ps/mm in 180 nm process DIC-Lec10 cwliu@twins.ee.nctu.edu.tw 32

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

" PCB Layout for Switching Regulators "

 PCB Layout for Switching Regulators 1 " PCB Layout for Switching Regulators " 2 Introduction Linear series pass regulator I L V IN V OUT GAIN REF R L Series pass device drops the necessary voltage to maintain V OUT at it s programmed value

More information

On-Chip Interconnect: The Past, Present, and Future

On-Chip Interconnect: The Past, Present, and Future On-Chip Interconnect: The Past, Present, and Future Professor Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester URL: http://www.ece.rochester.edu/~friedman Future

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/20 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad University of California,

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Chapter 10 Advanced CMOS Circuits

Chapter 10 Advanced CMOS Circuits Transmission Gates Chapter 10 Advanced CMOS Circuits NMOS Transmission Gate The active pull-up inverter circuit leads one to thinking about alternate uses of NMOS devices. Consider the circuit shown in

More information

MADR-009443-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators. Functional Schematic. Features. Description. Pin Configuration 2

MADR-009443-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators. Functional Schematic. Features. Description. Pin Configuration 2 Features Functional Schematic High Voltage CMOS Technology Four Channel Positive Voltage Control CMOS device using TTL input levels Low Power Dissipation Low Cost 4x4 mm, 20-lead PQFN Package 100% Matte

More information

Digital to Analog Converter. Raghu Tumati

Digital to Analog Converter. Raghu Tumati Digital to Analog Converter Raghu Tumati May 11, 2006 Contents 1) Introduction............................... 3 2) DAC types................................... 4 3) DAC Presented.............................

More information

CHAPTER 11: Flip Flops

CHAPTER 11: Flip Flops CHAPTER 11: Flip Flops In this chapter, you will be building the part of the circuit that controls the command sequencing. The required circuit must operate the counter and the memory chip. When the teach

More information

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore

More information

Title Page Paper title: Optimal Shielding/Spacing Metrics for Low Power Design

Title Page Paper title: Optimal Shielding/Spacing Metrics for Low Power Design Title Page Paper title: Optimal Shielding/Spacing Metrics for Low Power Design Authors: Ravishankar Arunachalam, IBM Corporation Emrah Acar, IBM Corporation San Nassif, IBM Corporation Corresponding Author:

More information

Layout of Multiple Cells

Layout of Multiple Cells Layout of Multiple Cells Beyond the primitive tier primitives add instances of primitives add additional transistors if necessary add substrate/well contacts (plugs) add additional polygons where needed

More information

The MOSFET Transistor

The MOSFET Transistor The MOSFET Transistor The basic active component on all silicon chips is the MOSFET Metal Oxide Semiconductor Field Effect Transistor Schematic symbol G Gate S Source D Drain The voltage on the gate controls

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

Evaluating AC Current Sensor Options for Power Delivery Systems

Evaluating AC Current Sensor Options for Power Delivery Systems Evaluating AC Current Sensor Options for Power Delivery Systems State-of-the-art isolated ac current sensors based on CMOS technology can increase efficiency, performance and reliability compared to legacy

More information

IL2225 Physical Design

IL2225 Physical Design IL2225 Physical Design Nasim Farahini farahini@kth.se Outline Physical Implementation Styles ASIC physical design Flow Floor and Power planning Placement Clock Tree Synthesis Routing Timing Analysis Verification

More information

LUXEON LEDs. Circuit Design and Layout Practices to Minimize Electrical Stress. Introduction. Scope LED PORTFOLIO

LUXEON LEDs. Circuit Design and Layout Practices to Minimize Electrical Stress. Introduction. Scope LED PORTFOLIO LED PORTFOLIO LUXEON LEDs Circuit Design and Layout Practices to Minimize Electrical Stress Introduction LED circuits operating in the real world can be subjected to various abnormal electrical overstress

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i Layout and Cross-section of an inverter Lecture 5 A Layout Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London V DD Q p A V i V o URL: www.ee.ic.ac.uk/pcheung/

More information

e.g. τ = 12 ps in 180nm, 40 ps in 0.6 µm Delay has two components where, f = Effort Delay (stage effort)= gh p =Parasitic Delay

e.g. τ = 12 ps in 180nm, 40 ps in 0.6 µm Delay has two components where, f = Effort Delay (stage effort)= gh p =Parasitic Delay Logic Gate Delay Chip designers need to choose: What is the best circuit topology for a function? How many stages of logic produce least delay? How wide transistors should be? Logical Effort Helps make

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

MADR-009190-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. 4. Functional Schematic. Features.

MADR-009190-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. 4. Functional Schematic. Features. Features High Voltage CMOS Technology Four Channel Positive Voltage Control CMOS device using TTL input levels Low Power Dissipation Low Cost Lead-Free SOIC-16 Plastic Package Halogen-Free Green Mold Compound

More information

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches).

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Pass Gate Logic n alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Switch Network Regeneration is performed via a buffer. We have already

More information

Semiconductor Memories

Semiconductor Memories Semiconductor Memories Semiconductor memories array capable of storing large quantities of digital information are essential to all digital systems Maximum realizable data storage capacity of a single

More information

MADR-009269-0001TR. Single Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. V1. Functional Schematic. Features.

MADR-009269-0001TR. Single Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. V1. Functional Schematic. Features. Features High Voltage CMOS Technology Complementary Outputs Positive Voltage Control CMOS device using TTL input levels Low Power Dissipation Low Cost Plastic SOIC-8 Package 100% Matte Tin Plating over

More information

Optimization and Comparison of 4-Stage Inverter, 2-i/p NAND Gate, 2-i/p NOR Gate Driving Standard Load By Using Logical Effort

Optimization and Comparison of 4-Stage Inverter, 2-i/p NAND Gate, 2-i/p NOR Gate Driving Standard Load By Using Logical Effort Optimization and Comparison of -Stage, -i/p NND Gate, -i/p NOR Gate Driving Standard Load By Using Logical Effort Satyajit nand *, and P.K.Ghosh ** * Mody Institute of Technology & Science/ECE, Lakshmangarh,

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

PCB Design Conference - East Keynote Address EMC ASPECTS OF FUTURE HIGH SPEED DIGITAL DESIGNS

PCB Design Conference - East Keynote Address EMC ASPECTS OF FUTURE HIGH SPEED DIGITAL DESIGNS OOOO1 PCB Design Conference - East Keynote Address September 12, 2000 EMC ASPECTS OF FUTURE HIGH SPEED DIGITAL DESIGNS By Henry Ott Consultants Livingston, NJ 07039 (973) 992-1793 www.hottconsultants.com

More information

Push-Pull FET Driver with Integrated Oscillator and Clock Output

Push-Pull FET Driver with Integrated Oscillator and Clock Output 19-3662; Rev 1; 5/7 Push-Pull FET Driver with Integrated Oscillator General Description The is a +4.5V to +15V push-pull, current-fed topology driver subsystem with an integrated oscillator for use in

More information

TS555. Low-power single CMOS timer. Description. Features. The TS555 is a single CMOS timer with very low consumption:

TS555. Low-power single CMOS timer. Description. Features. The TS555 is a single CMOS timer with very low consumption: Low-power single CMOS timer Description Datasheet - production data The TS555 is a single CMOS timer with very low consumption: Features SO8 (plastic micropackage) Pin connections (top view) (I cc(typ)

More information

Bi-directional FlipFET TM MOSFETs for Cell Phone Battery Protection Circuits

Bi-directional FlipFET TM MOSFETs for Cell Phone Battery Protection Circuits Bi-directional FlipFET TM MOSFETs for Cell Phone Battery Protection Circuits As presented at PCIM 2001 Authors: *Mark Pavier, *Hazel Schofield, *Tim Sammon, **Aram Arzumanyan, **Ritu Sodhi, **Dan Kinzer

More information

Introduction to CMOS VLSI Design

Introduction to CMOS VLSI Design Introduction to CMOS VLSI esign Slides adapted from: N. Weste,. Harris, CMOS VLSI esign, Addison-Wesley, 3/e, 24 Introduction Integrated Circuits: many transistors on one chip Very Large Scale Integration

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

ECE124 Digital Circuits and Systems Page 1

ECE124 Digital Circuits and Systems Page 1 ECE124 Digital Circuits and Systems Page 1 Chip level timing Have discussed some issues related to timing analysis. Talked briefly about longest combinational path for a combinational circuit. Talked briefly

More information

CMOS Logic Integrated Circuits

CMOS Logic Integrated Circuits CMOS Logic Integrated Circuits Introduction CMOS Inverter Parameters of CMOS circuits Circuits for protection Output stage for CMOS circuits Buffering circuits Introduction Symetrical and complementary

More information

Notes about Small Signal Model. for EE 40 Intro to Microelectronic Circuits

Notes about Small Signal Model. for EE 40 Intro to Microelectronic Circuits Notes about Small Signal Model for EE 40 Intro to Microelectronic Circuits 1. Model the MOSFET Transistor For a MOSFET transistor, there are NMOS and PMOS. The examples shown here would be for NMOS. Figure

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Class 18: Memories-DRAMs

Class 18: Memories-DRAMs Topics: 1. Introduction 2. Advantages and Disadvantages of DRAMs 3. Evolution of DRAMs 4. Evolution of DRAMs 5. Basics of DRAMs 6. Basics of DRAMs 7. Write Operation 8. SA-Normal Operation 9. SA-Read Operation

More information

AP331A XX G - 7. Lead Free G : Green. Packaging (Note 2)

AP331A XX G - 7. Lead Free G : Green. Packaging (Note 2) Features General Description Wide supply Voltage range: 2.0V to 36V Single or dual supplies: ±1.0V to ±18V Very low supply current drain (0.4mA) independent of supply voltage Low input biasing current:

More information

Op-Amp Simulation EE/CS 5720/6720. Read Chapter 5 in Johns & Martin before you begin this assignment.

Op-Amp Simulation EE/CS 5720/6720. Read Chapter 5 in Johns & Martin before you begin this assignment. Op-Amp Simulation EE/CS 5720/6720 Read Chapter 5 in Johns & Martin before you begin this assignment. This assignment will take you through the simulation and basic characterization of a simple operational

More information

Bi-directional level shifter for I²C-bus and other systems.

Bi-directional level shifter for I²C-bus and other systems. APPLICATION NOTE Bi-directional level shifter for I²C-bus and other Abstract With a single MOS-FET a bi-directional level shifter circuit can be realised to connect devices with different supply voltages

More information

ICS514 LOCO PLL CLOCK GENERATOR. Description. Features. Block Diagram DATASHEET

ICS514 LOCO PLL CLOCK GENERATOR. Description. Features. Block Diagram DATASHEET DATASHEET ICS514 Description The ICS514 LOCO TM is the most cost effective way to generate a high-quality, high-frequency clock output from a 14.31818 MHz crystal or clock input. The name LOCO stands for

More information

Signal integrity in deep-sub-micron integrated circuits

Signal integrity in deep-sub-micron integrated circuits Signal integrity in deep-sub-micron integrated circuits Alessandro Bogliolo abogliolo@ing.unife.it Outline Introduction General signaling scheme Noise sources and effects in DSM ICs Supply noise Synchronization

More information

A Lesson on Digital Clocks, One Shots and Counters

A Lesson on Digital Clocks, One Shots and Counters A Lesson on Digital Clocks, One Shots and Counters Topics Clocks & Oscillators LM 555 Timer IC Crystal Oscillators Selection of Variable Resistors Schmitt Gates Power-On Reset Circuits One Shots Counters

More information

Signal Integrity: Tips and Tricks

Signal Integrity: Tips and Tricks White Paper: Virtex-II, Virtex-4, Virtex-5, and Spartan-3 FPGAs R WP323 (v1.0) March 28, 2008 Signal Integrity: Tips and Tricks By: Austin Lesea Signal integrity (SI) engineering has become a necessary

More information

Application Note AN:005. FPA Printed Circuit Board Layout Guidelines. Introduction Contents. The Importance of Board Layout

Application Note AN:005. FPA Printed Circuit Board Layout Guidelines. Introduction Contents. The Importance of Board Layout FPA Printed Circuit Board Layout Guidelines By Paul Yeaman Principal Product Line Engineer V I Chip Strategic Accounts Introduction Contents Page Introduction 1 The Importance of 1 Board Layout Low DC

More information

A Lesson on Digital Clocks, One Shots and Counters

A Lesson on Digital Clocks, One Shots and Counters A Lesson on Digital Clocks, One Shots and Counters Topics Clocks & Oscillators LM 555 Timer IC Crystal Oscillators Selection of Variable Resistors Schmitt Gates Power-On Reset Circuits One Shots Counters

More information

Printed-Circuit-Board Layout for Improved Electromagnetic Compatibility

Printed-Circuit-Board Layout for Improved Electromagnetic Compatibility Printed-Circuit-Board Layout for Improved Electromagnetic Compatibility SDYA011 October 1996 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue

More information

EM Noise Mitigation in Circuit Boards and Cavities

EM Noise Mitigation in Circuit Boards and Cavities EM Noise Mitigation in Circuit Boards and Cavities Faculty (UMD): Omar M. Ramahi, Neil Goldsman and John Rodgers Visiting Professors (Finland): Fad Seydou Graduate Students (UMD): Xin Wu, Lin Li, Baharak

More information

Status of the design of the TDC for the GTK TDCpix ASIC

Status of the design of the TDC for the GTK TDCpix ASIC Status of the design of the TDC for the GTK TDCpix ASIC Gianluca Aglieri Rinella, Lukas Perktold DLL design review meeting, 16 03 2011 Outline Introduction Purpose and objectives Reminder Challenges of

More information

Supercapacitors. Advantages Power density Recycle ability Environmentally friendly Safe Light weight

Supercapacitors. Advantages Power density Recycle ability Environmentally friendly Safe Light weight Supercapacitors Supercapacitors also called ultracapacitors and electric double layer capacitors (EDLC) are capacitors with capacitance values greater than any other capacitor type available today. Capacitance

More information

A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI)

A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI) A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI) Ajay Joshi and Jeff Davis AIMD Research Group Georgia Institute of Technology Sponsored by: NSF # 0092450

More information

Analog & Digital Electronics Course No: PH-218

Analog & Digital Electronics Course No: PH-218 Analog & Digital Electronics Course No: PH-218 Lec-28: Logic Gates & Family Course Instructor: Dr. A. P. VAJPEYI Department of Physics, Indian Institute of Technology Guwahati, India 1 Digital Logic Gates

More information

Lecture 24. Inductance and Switching Power Supplies (how your solar charger voltage converter works)

Lecture 24. Inductance and Switching Power Supplies (how your solar charger voltage converter works) Lecture 24 Inductance and Switching Power Supplies (how your solar charger voltage converter works) Copyright 2014 by Mark Horowitz 1 Roadmap: How Does This Work? 2 Processor Board 3 More Detailed Roadmap

More information

Voltage, Current, Resistance, Capacitance and Inductance

Voltage, Current, Resistance, Capacitance and Inductance Voltage, Current, Resistance, Capacitance and Inductance Really basic electrical engineering. 1 Electricity and conductors Electricity is the movement of electrons. Electrons move easily through a conductor

More information

Class 11: Transmission Gates, Latches

Class 11: Transmission Gates, Latches Topics: 1. Intro 2. Transmission Gate Logic Design 3. X-Gate 2-to-1 MUX 4. X-Gate XOR 5. X-Gate 8-to-1 MUX 6. X-Gate Logic Latch 7. Voltage Drop of n-ch X-Gates 8. n-ch Pass Transistors vs. CMOS X-Gates

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

ANN Based Modeling of High Speed IC Interconnects. Q.J. Zhang, Carleton University

ANN Based Modeling of High Speed IC Interconnects. Q.J. Zhang, Carleton University ANN Based Modeling of High Speed IC Interconnects Needs for Repeated Simulation Signal integrity optimization Iterative design and re-optimization Monte-Carlo analysis Yield optimization Iterative design

More information

C39E.pdf Jul.20,2010

C39E.pdf Jul.20,2010 EU RoHS Compliant All the products in this catalog comply with EU RoHS. EU RoHS is "the European Directive 2011/65/EU on the Restriction of the Use of Certain Hazardous Substances in Electrical and Electronic

More information

Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits Objectives In this lecture you will learn the following Introduction Logical Effort of an Inverter

More information

ATE-A1 Testing Without Relays - Using Inductors to Compensate for Parasitic Capacitance

ATE-A1 Testing Without Relays - Using Inductors to Compensate for Parasitic Capacitance Introduction (Why Get Rid of Relays?) Due to their size, cost and relatively slow (millisecond) operating speeds, minimizing the number of mechanical relays is a significant goal of any ATE design. This

More information

10 BIT s Current Mode Pipelined ADC

10 BIT s Current Mode Pipelined ADC 10 BIT s Current Mode Pipelined ADC K.BHARANI VLSI DEPARTMENT VIT UNIVERSITY VELLORE, INDIA kothareddybharani@yahoo.com P.JAYAKRISHNAN VLSI DEPARTMENT VIT UNIVERSITY VELLORE, INDIA pjayakrishnan@vit.ac.in

More information

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 ELECTRONICS AND COMMUNICATION ENGINEERING Course Title VLSI DESIGN Course Code 57035 Regulation R09 COURSE DESCRIPTION Course Structure

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

A Practical Guide to Free Energy Devices

A Practical Guide to Free Energy Devices A Practical Guide to Free Energy Devices Device Patent No 29: Last updated: 7th October 2008 Author: Patrick J. Kelly This is a slightly reworded copy of this patent application which shows a method of

More information

1ED Compact A new high performance, cost efficient, high voltage gate driver IC family

1ED Compact A new high performance, cost efficient, high voltage gate driver IC family 1ED Compact A new high performance, cost efficient, high voltage gate driver IC family Heiko Rettinger, Infineon Technologies AG, Am Campeon 1-12, 85579 Neubiberg, Germany, heiko.rettinger@infineon.com

More information

Digital Systems Ribbon Cables I CMPE 650. Ribbon Cables A ribbon cable is any cable having multiple conductors bound together in a flat, wide strip.

Digital Systems Ribbon Cables I CMPE 650. Ribbon Cables A ribbon cable is any cable having multiple conductors bound together in a flat, wide strip. Ribbon Cables A ribbon cable is any cable having multiple conductors bound together in a flat, wide strip. Each dielectric configuration has different high-frequency characteristics. All configurations

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

ZL40221 Precision 2:6 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet

ZL40221 Precision 2:6 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet Features Inputs/Outputs Accepts two differential or single-ended inputs LVPECL, LVDS, CML, HCSL, LVCMOS Glitch-free switching of references On-chip input termination and biasing for AC coupled inputs Six

More information

Lecture 10: Latch and Flip-Flop Design. Outline

Lecture 10: Latch and Flip-Flop Design. Outline Lecture 1: Latch and Flip-Flop esign Slides orginally from: Vladimir Stojanovic Computer Systems Laboratory Stanford University horowitz@stanford.edu 1 Outline Recent interest in latches and flip-flops

More information

(Amplifying) Photo Detectors: Avalanche Photodiodes Silicon Photomultiplier

(Amplifying) Photo Detectors: Avalanche Photodiodes Silicon Photomultiplier (Amplifying) Photo Detectors: Avalanche Photodiodes Silicon Photomultiplier (no PiN and pinned Diodes) Peter Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1 Overview Reminder: Classical Photomultiplier

More information

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology Topics of Chapter 5 Sequential Machines Memory elements Memory elements. Basics of sequential machines. Clocking issues. Two-phase clocking. Testing of combinational (Chapter 4) and sequential (Chapter

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

LM 358 Op Amp. If you have small signals and need a more useful reading we could amplify it using the op amp, this is commonly used in sensors.

LM 358 Op Amp. If you have small signals and need a more useful reading we could amplify it using the op amp, this is commonly used in sensors. LM 358 Op Amp S k i l l L e v e l : I n t e r m e d i a t e OVERVIEW The LM 358 is a duel single supply operational amplifier. As it is a single supply it eliminates the need for a duel power supply, thus

More information

Capacitors in Circuits

Capacitors in Circuits apacitors in ircuits apacitors store energy in the electric field E field created by the stored charge In circuit apacitor may be absorbing energy Thus causes circuit current to be reduced Effectively

More information

Supply voltage Supervisor TL77xx Series. Author: Eilhard Haseloff

Supply voltage Supervisor TL77xx Series. Author: Eilhard Haseloff Supply voltage Supervisor TL77xx Series Author: Eilhard Haseloff Literature Number: SLVAE04 March 1997 i IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to

More information

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell.

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell. CHAPTER 4 THE ADDER The adder is one of the most critical components of a processor, as it is used in the Arithmetic Logic Unit (ALU), in the floating-point unit and for address generation in case of cache

More information

Application Note AN-940

Application Note AN-940 Application Note AN-940 How P-Channel MOSFETs Can Simplify Your Circuit Table of Contents Page 1. Basic Characteristics of P-Channel HEXFET Power MOSFETs...1 2. Grounded Loads...1 3. Totem Pole Switching

More information

New High Current MOSFET Module Offers 177 µω R DS(on)

New High Current MOSFET Module Offers 177 µω R DS(on) ew High Current Offers 177 µω R D(on) By William C. Kephart, Eric R. Motto Application Engineering owerex Incorporated Abstract This paper describes a new family of high current modules optimized for industrial

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

PS25202 EPIC Ultra High Impedance ECG Sensor Advance Information

PS25202 EPIC Ultra High Impedance ECG Sensor Advance Information EPIC Ultra High Impedance ECG Sensor Advance Information Data Sheet 291498 issue 2 FEATURES Ultra high input resistance, typically 20GΩ. Dry-contact capacitive coupling. Input capacitance as low as 15pF.

More information

THE INVERTER DYNAMICS

THE INVERTER DYNAMICS Dynamic Behavior THE IVERTER DYAMIC Propagation Delay, T p Defines how quickly output is affected by input Measured between 5% transition from input to output t plh defines delay for output going from

More information

Precision Analog Designs Demand Good PCB Layouts. John Wu

Precision Analog Designs Demand Good PCB Layouts. John Wu Precision Analog Designs Demand Good PCB Layouts John Wu Outline Enemies of Precision: Hidden components Noise Crosstalk Analog-to-Analog Digital-to-Analog EMI/RFI Poor Grounds Thermal Instability Leakage

More information

2 TO 4 DIFFERENTIAL PCIE GEN1 CLOCK MUX ICS557-06. Features

2 TO 4 DIFFERENTIAL PCIE GEN1 CLOCK MUX ICS557-06. Features DATASHEET 2 TO 4 DIFFERENTIAL PCIE GEN1 CLOCK MUX ICS557-06 Description The ICS557-06 is a two to four differential clock mux designed for use in PCI-Express applications. The device selects one of the

More information

RX-AM4SF Receiver. Pin-out. Connections

RX-AM4SF Receiver. Pin-out. Connections RX-AM4SF Receiver The super-heterodyne receiver RX-AM4SF can provide a RSSI output indicating the amplitude of the received signal: this output can be used to create a field-strength meter capable to indicate

More information

CHAPTER 16 MEMORY CIRCUITS

CHAPTER 16 MEMORY CIRCUITS CHPTER 6 MEMORY CIRCUITS Chapter Outline 6. atches and Flip-Flops 6. Semiconductor Memories: Types and rchitectures 6.3 Random-ccess Memory RM Cells 6.4 Sense-mplifier and ddress Decoders 6.5 Read-Only

More information

Step Response of RC Circuits

Step Response of RC Circuits Step Response of RC Circuits 1. OBJECTIVES...2 2. REFERENCE...2 3. CIRCUITS...2 4. COMPONENTS AND SPECIFICATIONS...3 QUANTITY...3 DESCRIPTION...3 COMMENTS...3 5. DISCUSSION...3 5.1 SOURCE RESISTANCE...3

More information

Sequential Logic: Clocks, Registers, etc.

Sequential Logic: Clocks, Registers, etc. ENEE 245: igital Circuits & Systems Lab Lab 2 : Clocks, Registers, etc. ENEE 245: igital Circuits and Systems Laboratory Lab 2 Objectives The objectives of this laboratory are the following: To design

More information

CAPACITIVE SENSING MADE EASY, Part 2 Design Guidelines

CAPACITIVE SENSING MADE EASY, Part 2 Design Guidelines CAPACITIVE SENSING MADE EASY, Part 2 Design Guidelines By Pushek Madaan and Priyadeep Kaur, Cypress Semiconductor Corp. When it comes to capacitive sensing design, layout plays a crucial role. Giving importance

More information

CMOS Power Consumption and C pd Calculation

CMOS Power Consumption and C pd Calculation CMOS Power Consumption and C pd Calculation SCAA035B June 1997 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor product or

More information

EB215E Printed Circuit Board Layout for Improved Electromagnetic Compatibility

EB215E Printed Circuit Board Layout for Improved Electromagnetic Compatibility Printed Circuit Board Layout for Improved Author: Eilhard Haseloff Date: 10.07.96 Rev: * The electromagnetic compatibility (EMC) of electronic circuits and systems has recently become of increasing significance.

More information

4 SENSORS. Example. A force of 1 N is exerted on a PZT5A disc of diameter 10 mm and thickness 1 mm. The resulting mechanical stress is:

4 SENSORS. Example. A force of 1 N is exerted on a PZT5A disc of diameter 10 mm and thickness 1 mm. The resulting mechanical stress is: 4 SENSORS The modern technical world demands the availability of sensors to measure and convert a variety of physical quantities into electrical signals. These signals can then be fed into data processing

More information