IC Design. Savant Company Inc. The 6th International System-on-Chip (SoC) Conference, Exhibit, and Workshops, November 2008, Newport Beach, California

Size: px
Start display at page:

Download "IC Design. Savant Company Inc. The 6th International System-on-Chip (SoC) Conference, Exhibit, and Workshops, November 2008, Newport Beach, California"

Transcription

1 IC Design Advanced Power Management Copyright S3 Group Mark Barry Savant Company Inc. The 6th International System-on-Chip (SoC) Conference, Exhibit, and Workshops, November 2008, Newport Beach, California

2 Agenda S3 Group View of Low Power Drivers Low Power Methodologies Architectural Considerations Implementation Considerations Power Management Network Future Directions & Conclusion

3 Who is S3 Group? The Connected Consumer Technology Company Brief Statistics Founded in Employees 6 Design Centres Globally Consumer Focus Consumer Healthcare, Mobile, Home Silicon & Software IP and Solutions NXP, SanDisk, TI, Nokia, Sony etc

4 Portable Consumer Devices Trends S3 Group sees in media players, cellular handsets etc Higher data rates, more integration & richer applications All while trying to increase battery lifetime Integration

5 Mains Powered Consumer Devices Trends S3 Group sees in STB, TV, HDMI, VDSL etc Aggressive Integration leads to packaging & thermal issues Green marketing and regulations Energy star (US), EuP Directive (EU), AGO (Australia) 25 Energy Usage - FOXTEL Standard STBs Energy Use (Whr) Standby mode (Watt) On mode (Watt) UEC UEC 700 Later 2000 UEC 720F 2001 UEC 720iF 2002 UEC 720iX 2003 UEC 1000 (sat) 2003 UEC 1000 (cab) 2003 Pace 420 (sat) 2003 Pa ce420 (cab) Source: Don Brooks, NewsCorp consumer Technology Summit Pace 250 (sat) 2007 Pace 250 (cab)

6 Holistic Power Management Application Socket Heating Battery Lifetime Regulations Methodology Voltage islands Voltage gating DVFS Technology Foundry Node & Flavour Packaging Implementation Tool Flow Architecture IP Selection

7 Agenda S3 Group View of Low Power Drivers Low Power Methodologies Architectural Considerations Implementation Considerations Power Management Network Future Directions & Conclusion

8 Largest Gains at Highest Level System Level Specifications SW-HW Partition Algorithms SoC Architecture Voltage Islands Voltage Gating Voltage Scaling

9 Advanced Power Management Voltage Islands Functions with separate Vdd Reduce dynamic power Voltage Gating Turn off Vdd to islands Huge leakage reduction Dynamic Voltage Scaling Vdd related to processing Reduce dynamic power

10 Agenda S3 Group View of Low Power Drivers Low Power Methodologies Architectural Considerations Implementation Considerations Power Management Network Future Directions & Conclusion

11 Technology Representative example for 90nm Higher Leakage Multi-Vth Optimization Voltage Gating

12 Architecture Level Common Issues Power Supply N/W Voltage islands & levels? Voltage gating? Retention in RAM or FF? Modes (idle, sleep, etc) Keep it simple by limiting the number of domains

13 IP Building-Block Block Selection IP is a growing % of overall chip power Select an established supplier you can trust Good technical interaction allowing due diligence is key Analog IP Make sure it is silicon proven at Vdd Look for power-down modes Beware of spec-man-ship Digital IP Check IP set up for low power flows Compare at target voltages and clocks

14 Fast ESL based Analysis HW-SW and Digital SoC High level synthesis allows more exploration Power-annotated ESL models much better than excel Mixed Analog-Digital ICs Huge increase in CMOS RF/Analog integration FastSpice or Verilog-AMS co-simulation with digital

15 Agenda S3 Group View of Low Power Drivers Low Power Methodologies Architectural Considerations Implementation Considerations Power Management Network Future Directions & Conclusion

16 Current Flows CPF/UPF Common Format (CPF), Unified Format (UPF) Many tools can use to specify power-specific data Constructs expressing power domains and their power supplies Power control logic (level shifters, isolation, retention etc) Definition and verification of power modes (standby, sleep, etc.) Common format for entire flow Design & Verification Synthesis, STA Physical Design Copyright Silicon & Software Systems Limited Slide 16

17 RTL Level RTL level design Partition the RTL into domains with ports to assert isolation etc Additional logic needed for control of voltage domains RTL verification Verify all uses cases and correct signals to/from gated domains Use CPF/UPF to check correct sequence of powering up/down

18 Design for Test Multi-threshold leakage optimization Increases number paths for at-speed testing Voltage islands at multiple voltages Restrict scan chains to one domain Test block in independent power domain Need to ensure test of level shifters etc Adaptive voltage domains Bridging & Delay faults are Vdd dependent Need to test at multiple voltages

19 Synthesis & STA Synthesis Traditional (Clock gating, synthesis re-structuring, leakage opt) Support of voltage islands with CPF/UPF Static Timing Analysis Multiply Supply/Multiple voltage (MSMV) means lots of runs ECSM and CCS allow dynamic voltage & temperature scaling

20 Physical Design Voltage Islands Floor-planning & domain definitions MSMV aware placement and clock tree Still need to check tool outputs Run formal verification Level shifter, isolation cell, retention placement etc

21 Agenda S3 Group View of Low Power Drivers Low Power Methodologies Architectural Considerations Implementation Considerations Power Management Network Future Directions & Conclusion

22 Advanced Power Management Dilemma Performance Risk Cost External PMU IC Can select optimal process & package Reliability & inventory (unless PSoC, PSiP) Complex PMUs add >50cent to OEM BOM Internal Regulators Customize to application, closer to point-of-load Re-spin, thermal issues, noise generated Area, IP license, additional verification NRE Time to Market Board design and validation overheads Integration overhead Integrate for high volume, low current applications

23 DC-DC versus LDO DC-DC Converter Large on-chip area (around 0.6mm^2) Lots of switching noise and poor PSRR Expensive external components High efficiency and low thermal impact Linear Regulator Poor efficiency (depends on drop) Low area (around 0.2mm^2) Low noise & excellent PSRR One/No external component

24 Consumer Applications Power Management Network is complex Lots of domains, mix of Analog and digital Large variation in current needed Cascaded structure Large DC-DC supplying multiple LDO High efficiency with good performance Optimal efficiency for a given area LDOs with drop out to fit application DC-DC with good efficiency at mean load

25 Optimal IP for Application Web interface for configuration of IP Web interface Not forced into point solution Optimal area, power & cost Semi-Automatic Generation Rapid IP turn-around Changes close to Tape-out

26 Power Switches Switch sizing Design for 1% drop Area versus leakage Hard macro Region 4 Region 1 Avoid Failures Prevent transient spikes Avoid supply bounce Region 3 Hard macro Region 2 Implementation Daisy-chain many small switches and embed in logic Slew rate control of one large transistor

27 Agenda S3 Group View of Low Power Drivers Low Power Methodologies Architectural Considerations Implementation Considerations Power Management Network Future Directions & Conclusion

28 Potential Future Directions Single language for capturing power intent Si2, Power Forward, Accellera etc should agree on this IP delivered with checkers for power intent Mainstream power-aware ESL tools Drag and drop voltage domains in tool Standardized & integrated with EDA tools Standardized ESL power models Deliver power models with IP

29 Conclusion Big power reductions needed for all applications Need holistic power management Big gains from Voltage islands, gating and scaling Power management has a large impact Complicates architecture and implementation IP selection and power supply network Needs improvement to maximize benefits Need improvement in ESL tools Standardized power models and power intent

30 Questions? Copyright S3 Group, v3.0

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Hunting Asynchronous CDC Violations in the Wild

Hunting Asynchronous CDC Violations in the Wild Hunting Asynchronous Violations in the Wild Chris Kwok Principal Engineer May 4, 2015 is the #2 Verification Problem Why is a Big Problem: 10 or More Clock Domains are Common Even FPGA Users Are Suffering

More information

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor A Starter Guide Joseph Yiu November 2014 version 1.02 27 Nov 2014 1 - Background Since the ARM Cortex -M0 Processor was released a few years

More information

ARM Webinar series. ARM Based SoC. Abey Thomas

ARM Webinar series. ARM Based SoC. Abey Thomas ARM Webinar series ARM Based SoC Verification Abey Thomas Agenda About ARM and ARM IP ARM based SoC Verification challenges Verification planning and strategy IP Connectivity verification Performance verification

More information

Impact of Signal Integrity on System-On-Chip Design Methodologies

Impact of Signal Integrity on System-On-Chip Design Methodologies EDP 2004 Impact of Signal Integrity on System-On-Chip Methodologies Juan-Antonio Carballo jantonio@us.ibm.com VSIA IMP co-chair Raminderpal Singh IBM Systems and Technology raminder@us.ibm.com VSIA IMP

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler

ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler DAC 2008 Philip Watson Philip Watson Implementation Environment Program Manager ARM Ltd Background - Who Are We? Processor

More information

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut.

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut. System-on on-chip Design Flow Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems jouni.tomberg@tut.fi 26.03.2003 Jouni Tomberg / TUT 1 SoC - How and with whom?

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Complete ASIC & COT Solutions 1986-2008

Complete ASIC & COT Solutions 1986-2008 Complete ASIC & COT Solutions 1986-2008 www.avnet-asic.com Nadav Ben-Ezer Managing Director 1 March 5th, 2008 Core Business ASIC/SoC Design and Implementation RTL Design Sub-system IP Integration RTL to

More information

AMS Verification at SoC Level: A practical approach for using VAMS vs SPICE views

AMS Verification at SoC Level: A practical approach for using VAMS vs SPICE views AMS Verification at SoC Level: A practical approach for using VAMS vs SPICE views Nitin Pant, Gautham Harinarayan, Manmohan Rana Accellera Systems Initiative 1 Agenda Need for SoC AMS Verification Mixed

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Power management for handheld and portable applications. A tradition of leadership in power management is now mobile

Power management for handheld and portable applications. A tradition of leadership in power management is now mobile Power management for handheld and portable applications A tradition of leadership in power management is now mobile Leaders in PM for Mobile Advanced analog power management ICs Integrated devices with

More information

NEC Electronics: Integrating Power Awareness in SoC Design with CPF

NEC Electronics: Integrating Power Awareness in SoC Design with CPF NEC Electronics: Integrating Power Awareness in SoC Design with CPF NEC Electronics: Integrating Power Awareness in SoC Design with CPF By Toshiyuki Saito, Senior Manager, Design Engineering Development

More information

VLSI Design Verification and Testing

VLSI Design Verification and Testing VLSI Design Verification and Testing Instructor Chintan Patel (Contact using email: cpatel2@cs.umbc.edu). Text Michael L. Bushnell and Vishwani D. Agrawal, Essentials of Electronic Testing, for Digital,

More information

Shanghai R&D Vacancies August 2014 PV, PE, Intern

Shanghai R&D Vacancies August 2014 PV, PE, Intern RD Shanghai R&D Vacancies August 2014 PV, PE, Intern 1. Lead Software Engineer- Routing (Req#: 9528) Responsible for development and maintenance of signal routing in EDI platform (NanoRoute). Implementation

More information

Codesign: The World Of Practice

Codesign: The World Of Practice Codesign: The World Of Practice D. Sreenivasa Rao Senior Manager, System Level Integration Group Analog Devices Inc. May 2007 Analog Devices Inc. ADI is focused on high-end signal processing chips and

More information

TABLE OF CONTENTS. xiii List of Tables. xviii List of Design-for-Test Rules. xix Preface to the First Edition. xxi Preface to the Second Edition

TABLE OF CONTENTS. xiii List of Tables. xviii List of Design-for-Test Rules. xix Preface to the First Edition. xxi Preface to the Second Edition TABLE OF CONTENTS List of Figures xiii List of Tables xviii List of Design-for-Test Rules xix Preface to the First Edition xxi Preface to the Second Edition xxiii Acknowledgement xxv 1 Boundary-Scan Basics

More information

IL2225 Physical Design

IL2225 Physical Design IL2225 Physical Design Nasim Farahini farahini@kth.se Outline Physical Implementation Styles ASIC physical design Flow Floor and Power planning Placement Clock Tree Synthesis Routing Timing Analysis Verification

More information

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing Design Verification and Test of Digital VLSI Circuits NPTEL Video Course Module-VII Lecture-I Introduction to Digital VLSI Testing VLSI Design, Verification and Test Flow Customer's Requirements Specifications

More information

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery Pervasive Power: Integrated Energy Storage for POL Delivery Pervasive Power Overview This paper introduces several new concepts for micro-power electronic system design. These concepts are based on the

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

Welcome to this presentation on Switch Mode Drivers, part of OSRAM Opto Semiconductors LED Fundamentals series. In this presentation we will look at:

Welcome to this presentation on Switch Mode Drivers, part of OSRAM Opto Semiconductors LED Fundamentals series. In this presentation we will look at: Welcome to this presentation on Switch Mode Drivers, part of OSRAM Opto Semiconductors LED Fundamentals series. In this presentation we will look at: How switch mode drivers work, switch mode driver topologies,

More information

The Heartbeat behind Portable Medical Devices: Ultra-Low-Power Mixed-Signal Microcontrollers

The Heartbeat behind Portable Medical Devices: Ultra-Low-Power Mixed-Signal Microcontrollers The Heartbeat behind Portable Medical Devices: Ultra-Low-Power Mixed-Signal Microcontrollers The proliferation of sophisticated yet affordable personal medical devices is transforming the health care industry,

More information

Semiconductor design Outsourcing: Global trends and Indian perspective. Vasudevan A Date: Aug 29, 2003

Semiconductor design Outsourcing: Global trends and Indian perspective. Vasudevan A Date: Aug 29, 2003 Semiconductor design Outsourcing: Global trends and Indian perspective Vasudevan A Date: Aug 29, 2003 Role of Semiconductors in Products Source: IC Insights Semiconductor content in end product increasing

More information

CMOS Thyristor Based Low Frequency Ring Oscillator

CMOS Thyristor Based Low Frequency Ring Oscillator CMOS Thyristor Based Low Frequency Ring Oscillator Submitted by: PIYUSH KESHRI BIPLAB DEKA 4 th year Undergraduate Student 4 th year Undergraduate Student Electrical Engineering Dept. Electrical Engineering

More information

NVM memory: A Critical Design Consideration for IoT Applications

NVM memory: A Critical Design Consideration for IoT Applications NVM memory: A Critical Design Consideration for IoT Applications Jim Lipman Sidense Corp. Introduction The Internet of Things (IoT), sometimes called the Internet of Everything (IoE), refers to an evolving

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

Application Information Fully Integrated Hall Effect Motor Driver for Brushless DC Vibration Motor Applications

Application Information Fully Integrated Hall Effect Motor Driver for Brushless DC Vibration Motor Applications Application Information Fully Integrated Hall Effect Motor Driver for Brushless DC Vibration Motor Applications By Shaun Milano Vibration motors are used in a variety of applications including mobile phone

More information

Developments in Point of Load Regulation

Developments in Point of Load Regulation Developments in Point of Load Regulation By Paul Greenland VP of Marketing, Power Management Group, Point of load regulation has been used in electronic systems for many years especially when the load

More information

DDR subsystem: Enhancing System Reliability and Yield

DDR subsystem: Enhancing System Reliability and Yield DDR subsystem: Enhancing System Reliability and Yield Agenda Evolution of DDR SDRAM standards What is the variation problem? How DRAM standards tackle system variability What problems have been adequately

More information

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: "Embedded Systems - ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: Embedded Systems - , Raj Kamal, Publs.: McGraw-Hill Education Lesson 7: SYSTEM-ON ON-CHIP (SoC( SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY 1 VLSI chip Integration of high-level components Possess gate-level sophistication in circuits above that of the counter,

More information

Introduction to Silicon Labs. November 2015

Introduction to Silicon Labs. November 2015 Introduction to Silicon Labs November 2015 1 Company Background Global mixed-signal semiconductor company Founded in 1996; public since 2000 (NASDAQ: SLAB) >1,100 employees and 11 R&D locations worldwide

More information

1.1 Silicon on Insulator a brief Introduction

1.1 Silicon on Insulator a brief Introduction Table of Contents Preface Acknowledgements Chapter 1: Overview 1.1 Silicon on Insulator a brief Introduction 1.2 Circuits and SOI 1.3 Technology and SOI Chapter 2: SOI Materials 2.1 Silicon on Heteroepitaxial

More information

«A 32-bit DSP Ultra Low Power accelerator»

«A 32-bit DSP Ultra Low Power accelerator» «A 32-bit DSP Ultra Low Power accelerator» E. Beigné edith.beigne@cea.fr CEA LETI MINATEC, Grenoble, France www.cea.fr Low power SOC challenges : Energy Efficiency Fine-Grain AVFS solutions FDSOI technology

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Evaluating AC Current Sensor Options for Power Delivery Systems

Evaluating AC Current Sensor Options for Power Delivery Systems Evaluating AC Current Sensor Options for Power Delivery Systems State-of-the-art isolated ac current sensors based on CMOS technology can increase efficiency, performance and reliability compared to legacy

More information

FPGA Prototyping Primer

FPGA Prototyping Primer FPGA Prototyping Primer S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com What is FPGA prototyping? FPGA prototyping is the methodology

More information

High-Frequency Integrated Circuits

High-Frequency Integrated Circuits High-Frequency Integrated Circuits SORIN VOINIGESCU University of Toronto CAMBRIDGE UNIVERSITY PRESS CONTENTS Preface, page xiii Introduction l 1.1 High-frequency circuits in wireless, fiber-optic, and

More information

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw Feb. 2013 Course Overview

More information

From Bus and Crossbar to Network-On-Chip. Arteris S.A.

From Bus and Crossbar to Network-On-Chip. Arteris S.A. From Bus and Crossbar to Network-On-Chip Arteris S.A. Copyright 2009 Arteris S.A. All rights reserved. Contact information Corporate Headquarters Arteris, Inc. 1741 Technology Drive, Suite 250 San Jose,

More information

Continuous-Time Converter Architectures for Integrated Audio Processors: By Brian Trotter, Cirrus Logic, Inc. September 2008

Continuous-Time Converter Architectures for Integrated Audio Processors: By Brian Trotter, Cirrus Logic, Inc. September 2008 Continuous-Time Converter Architectures for Integrated Audio Processors: By Brian Trotter, Cirrus Logic, Inc. September 2008 As consumer electronics devices continue to both decrease in size and increase

More information

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com.

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com. White Paper FPGA Prototyping of System-on-Chip Designs The Need for a Complete Prototyping Platform for Any Design Size, Any Design Stage with Enterprise-Wide Access, Anytime, Anywhere S2C Inc. 1735 Technology

More information

SLC vs. MLC: An Analysis of Flash Memory

SLC vs. MLC: An Analysis of Flash Memory SLC vs. MLC: An Analysis of Flash Memory Examining the Quality of Memory: Understanding the Differences between Flash Grades Table of Contents Abstract... 3 Introduction... 4 Flash Memory Explained...

More information

Self-Evaluation Configuration for Remote Data Logging Systems

Self-Evaluation Configuration for Remote Data Logging Systems IEEE International Workshop on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications 6-8 September 2007, Dortmund, Germany Self-Evaluation Configuration for Remote Data

More information

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor Von der Hardware zur Software in FPGAs mit Embedded Prozessoren Alexander Hahn Senior Field Application Engineer Lattice Semiconductor AGENDA Overview Mico32 Embedded Processor Development Tool Chain HW/SW

More information

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers.

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Nowadays, System Engineers are placed in the centre of two antagonist flows: microelectronic systems

More information

Switched Interconnect for System-on-a-Chip Designs

Switched Interconnect for System-on-a-Chip Designs witched Interconnect for ystem-on-a-chip Designs Abstract Daniel iklund and Dake Liu Dept. of Physics and Measurement Technology Linköping University -581 83 Linköping {danwi,dake}@ifm.liu.se ith the increased

More information

CMOS 5GHz WLAN 802.11a/n/ac RFeIC WITH PA, LNA, AND SPDT

CMOS 5GHz WLAN 802.11a/n/ac RFeIC WITH PA, LNA, AND SPDT CMOS 5GHz WLAN 802.11a/n/ac RFeIC WITH PA, LNA, AND SPDT Description RFX8055 is a highly integrated, single-chip, single-die RFeIC (RF Front-end Integrated Circuit) which incorporates key RF functionality

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

TYPICAL APPLICATION CIRCUIT. ORDER INFORMATION SOP-EP 8 pin A703EFT (Lead Free) A703EGT (Green)

TYPICAL APPLICATION CIRCUIT. ORDER INFORMATION SOP-EP 8 pin A703EFT (Lead Free) A703EGT (Green) www.addmtek.com 2 CHANNELS 150mA HIGH VOLTAGE ADJUSTABLE CURRENT REGULATOR DESCRIPTION A703 is a high voltage, adjustable constant current driver for LED applications. Two regulated current ports are designed

More information

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU ESE566 REPORT3 Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU Nov 19th, 2002 ABSTRACT: In this report, we discuss several recent published papers on design methodologies of core-based

More information

At-Speed Test Considering Deep Submicron Effects. D. M. H. Walker Dept. of Computer Science Texas A&M University walker@cs.tamu.

At-Speed Test Considering Deep Submicron Effects. D. M. H. Walker Dept. of Computer Science Texas A&M University walker@cs.tamu. At-Speed Test Considering Deep Submicron Effects D. M. H. Walker Dept. of Computer Science Teas A&M University walker@cs.tamu.edu Integrated Circuit Testing IC Test What s Going On Test Generation Test

More information

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures Sheng Li, Junh Ho Ahn, Richard Strong, Jay B. Brockman, Dean M Tullsen, Norman Jouppi MICRO 2009

More information

System on Chip Design. Michael Nydegger

System on Chip Design. Michael Nydegger Short Questions, 26. February 2015 What is meant by the term n-well process? What does this mean for the n-type MOSFETs in your design? What is the meaning of the threshold voltage (practically)? What

More information

Part Number Description Packages available

Part Number Description Packages available Features 3 digital I/O Serial Data output Connects directly to RF Modules Easy Enc / Dec Pairing Function Minimal External Components Required Performs all encoding/decoding of data for Reliable Operation.

More information

Objective. Testing Principle. Types of Testing. Characterization Test. Verification Testing. VLSI Design Verification and Testing.

Objective. Testing Principle. Types of Testing. Characterization Test. Verification Testing. VLSI Design Verification and Testing. VLSI Design Verification and Testing Objective VLSI Testing Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut Need to understand Types of tests performed at different stages

More information

Leakage Power Reduction Using Sleepy Stack Power Gating Technique

Leakage Power Reduction Using Sleepy Stack Power Gating Technique Leakage Power Reduction Using Sleepy Stack Power Gating Technique M.Lavanya, P.Anitha M.E Student [Applied Electronics], Dept. of ECE, Kingston Engineering College, Vellore, Tamil Nadu, India Assistant

More information

Using Cell Balancing to Maximize the Capacity of Multi-cell Li-Ion Battery Packs

Using Cell Balancing to Maximize the Capacity of Multi-cell Li-Ion Battery Packs Using Cell Balancing to Maximize the Capacity of Multi-cell Li-Ion Battery Packs Introduction Common multiple cell configurations for LiIon cells in battery packs consist of three or four cells in series,

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

The Energy Harvesting Tipping Point for Wireless Sensor Applications

The Energy Harvesting Tipping Point for Wireless Sensor Applications The Energy Harvesting Tipping Point for Wireless Sensor Applications Ever since the first watermills and windmills were used to generate electricity, energy harvesting has been an attractive source of

More information

AN588 ENERGY HARVESTING REFERENCE DESIGN USER S GUIDE. 1. Kit Contents. 2. Introduction. Figure 1. Energy Harvesting Sensor Node

AN588 ENERGY HARVESTING REFERENCE DESIGN USER S GUIDE. 1. Kit Contents. 2. Introduction. Figure 1. Energy Harvesting Sensor Node ENERGY HARVESTING REFERENCE DESIGN USER S GUIDE 1. Kit Contents The RF to USB Reference Design contains the following items: Si1012 Energy Harvesting Wireless Sensor Node EZRadioPRO USB Dongle ToolStick

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical delivers superior quality

More information

Intel architecture. Platform Basics. White Paper Todd Langley Systems Engineer/ Architect Intel Corporation. September 2010

Intel architecture. Platform Basics. White Paper Todd Langley Systems Engineer/ Architect Intel Corporation. September 2010 White Paper Todd Langley Systems Engineer/ Architect Intel Corporation Intel architecture Platform Basics September 2010 324377 Executive Summary Creating an Intel architecture design encompasses some

More information

System-on-Chip Design with Virtual Components

System-on-Chip Design with Virtual Components FEATURE ARTICLE Thomas Anderson System-on-Chip Design with Virtual Components Here in the Recycling Age, designing for reuse may sound like a great idea. But with increasing requirements and chip sizes,

More information

Features. V PP IN V CC3 IN V CC5 IN (opt) EN0 EN1 MIC2562

Features. V PP IN V CC3 IN V CC5 IN (opt) EN0 EN1 MIC2562 MIC2562A /CardBus Socket Power Controller General Description The MIC2562A (Personal Computer Memory Card International Association) and CardBus power controller handles all PC Card slot power supply pins,

More information

OLED into Mobile Main Display

OLED into Mobile Main Display OLED into Mobile Main Display Author: Jack Tsang Title: Senior Product Marketing Engineer Company: Solomon Systech Limited Introduction A decade after the Electro-luminescent (EL) effect was first discovered,

More information

White Paper Power-Optimized Solutions for Telecom Applications

White Paper Power-Optimized Solutions for Telecom Applications White Paper Introduction Some telecommunications (telecom) carriers now target a 20 percent power reduction per year on the equipment they deploy. This is a response to increasing power consumption in

More information

Creating Affordable Silicon

Creating Affordable Silicon Creating Affordable Silicon John Tinson VP Sales Sondrel 2016 03/05/2016 Presentation Title 1 The IoT Challenge Existing OEM s and start ups would benefit from a custom ASIC to prove their application

More information

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating S.Nandhini 1, T.G.Dhaarani 2, P.Kokila 3, P.Premkumar 4 Assistant Professor, Dept. of ECE, Nandha Engineering College, Erode,

More information

EEC 119B Spring 2014 Final Project: System-On-Chip Module

EEC 119B Spring 2014 Final Project: System-On-Chip Module EEC 119B Spring 2014 Final Project: System-On-Chip Module Dept. of Electrical and Computer Engineering University of California, Davis Issued: March 14, 2014 Subject to Revision Final Report Due: June

More information

Introduction to VLSI Testing

Introduction to VLSI Testing Introduction to VLSI Testing 李 昆 忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan, R.O.C. Introduction to VLSI Testing.1 Problems to Think A 32 bit adder A

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

Towards a more efficient energy use in photovoltaic powered products

Towards a more efficient energy use in photovoltaic powered products Towards a more efficient energy use in photovoltaic powered products Sioe-Yao Kan*, Ruben Strijk Faculty of Industrial Design Engineering, Delft University of Technology, Landbergstraat 15, 2628 CE Delft,

More information

Monitor Characteristics

Monitor Characteristics Monitor Characteristics ENERGY STAR qualified monitors automatically enter two successive low-power modes of less than or equal to 15 watts and 8 watts after a period of inactivity. New chip technologies

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

AP331A XX G - 7. Lead Free G : Green. Packaging (Note 2)

AP331A XX G - 7. Lead Free G : Green. Packaging (Note 2) Features General Description Wide supply Voltage range: 2.0V to 36V Single or dual supplies: ±1.0V to ±18V Very low supply current drain (0.4mA) independent of supply voltage Low input biasing current:

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM

DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM * Monire Norouzi Young Researchers and Elite Club, Shabestar Branch, Islamic Azad University, Shabestar, Iran *Author for Correspondence ABSTRACT

More information

Low-Voltage/Low-Power MCU Solutions from Silicon Labs

Low-Voltage/Low-Power MCU Solutions from Silicon Labs Low-Voltage/Low-Power MCU g Solutions from Silicon Labs Silicon Labs Company Background Founded in 1996 to design innovative mixed-signal ICs More than 2 billion mixed-signal ICs shipped Established global

More information

Optimizing Power Efficiency in Point-of-Load Regulators Using SLLM (Simple Light Load Mode) Control. White Paper.

Optimizing Power Efficiency in Point-of-Load Regulators Using SLLM (Simple Light Load Mode) Control. White Paper. Innovations Embedded Optimizing Power Efficiency in Point-of-Load Regulators Using SLLM (Simple Light Load Mode) Control White Paper ROHM MarketingUSA Presented by ROHM Semiconductor Optimizing Power Efficiency

More information

Programmable Single-/Dual-/Triple- Tone Gong SAE 800

Programmable Single-/Dual-/Triple- Tone Gong SAE 800 Programmable Single-/Dual-/Triple- Tone Gong Preliminary Data SAE 800 Bipolar IC Features Supply voltage range 2.8 V to 18 V Few external components (no electrolytic capacitor) 1 tone, 2 tones, 3 tones

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

DIGITAL LOGIC CURRENT FLOW

DIGITAL LOGIC CURRENT FLOW Slide #1 DIGITAL LOGIC CURRENT FLOW By Henry W. Ott Henry Ott Consultants www.hottconsultants.com hott@ieee.org Slide #2 INTRODUCTION Many Engineers and Designers Are Confused About How And Where Digital

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

Solutions for Mixed-Signal SoC Verification New techniques that are making advanced SoC verification possible

Solutions for Mixed-Signal SoC Verification New techniques that are making advanced SoC verification possible New techniques that are making advanced SoC verification possible By Kishore Karnane and Sathishkumar Balasubramanian, Cadence esign Systems Performing full-chip verification of large mixed-signal systems

More information

Serial port interface for microcontroller embedded into integrated power meter

Serial port interface for microcontroller embedded into integrated power meter Serial port interface for microcontroller embedded into integrated power meter Mr. Borisav Jovanović, Prof. dr. Predrag Petković, Prof. dr. Milunka Damnjanović, Faculty of Electronic Engineering Nis, Serbia

More information

White Paper Amy Chong Yew Ee Online Sales Account Manager APAC Online Sales Center Intel Corporation. BOM Cost Reduction by Removing S3 State

White Paper Amy Chong Yew Ee Online Sales Account Manager APAC Online Sales Center Intel Corporation. BOM Cost Reduction by Removing S3 State White Paper Amy Chong Yew Ee Online Sales Account Manager APAC Online Sales Center Intel Corporation BOM Cost Reduction by Removing S3 State May 2011 325448 Executive Summary In today s embedded design,

More information

By Authority Of THE UNITED STATES OF AMERICA Legally Binding Document

By Authority Of THE UNITED STATES OF AMERICA Legally Binding Document By Authority Of THE UNITED STATES OF AMERICA Legally Binding Document By the Authority Vested By Part 5 of the United States Code 552(a) and Part 1 of the Code of Regulations 51 the attached document has

More information

L297 STEPPER MOTOR CONTROLLERS

L297 STEPPER MOTOR CONTROLLERS L297 STEPPER MOTOR CONTROLLERS NORMAL/WAVE DRIVE HALF/FULL STEP MODES CLOCKWISE/ANTICLOCKWISE DIRECTION SWITCHMODE LOAD CURRENT REGULA- TION PROGRAMMABLE LOAD CURRENT FEW EXTERNAL COMPONENTS RESET INPUT

More information

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications A -GSPS CMOS Flash A/D Converter for System-on-Chip Applications Jincheol Yoo, Kyusun Choi, and Ali Tangel Department of Computer Science & Department of Computer & Engineering Communications Engineering

More information

Wireless Precision Temperature Sensor Powers Itself, Forms Own Network, Enabling Easy Deployment in Industrial Environments

Wireless Precision Temperature Sensor Powers Itself, Forms Own Network, Enabling Easy Deployment in Industrial Environments Wireless Precision Temperature Sensor Powers Itself, Forms Own Network, Enabling Easy Deployment in Industrial Environments Kris Lokere While the Internet connects people via a worldwide computer network,

More information

AN111: Using 8-Bit MCUs in 5 Volt Systems

AN111: Using 8-Bit MCUs in 5 Volt Systems This document describes how to incorporate Silicon Lab s 8-bit EFM8 and C8051 families of devices into existing 5 V systems. When using a 3 V device in a 5 V system, the user must consider: A 3 V power

More information

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING BARIS TASKIN, JOHN WOOD, IVAN S. KOURTEV February 28, 2005 Research Objective Objective: Electronic design automation

More information

1ED Compact A new high performance, cost efficient, high voltage gate driver IC family

1ED Compact A new high performance, cost efficient, high voltage gate driver IC family 1ED Compact A new high performance, cost efficient, high voltage gate driver IC family Heiko Rettinger, Infineon Technologies AG, Am Campeon 1-12, 85579 Neubiberg, Germany, heiko.rettinger@infineon.com

More information

White Paper Reduce Total System Cost in Portable Applications Using Zero-Power CPLDs

White Paper Reduce Total System Cost in Portable Applications Using Zero-Power CPLDs White Paper Reduce Total System Cost in Portable Applications Using Zero-Power CPLDs Introduction Traditionally, portable system designers have used ASICs and ASSPs to implement memory interfaces, I/O

More information