Analysis (III) Low Power Design. Kai Huang

Size: px
Start display at page:

Download "Analysis (III) Low Power Design. Kai Huang"

Transcription

1 Analysis (III) Low Power Design Kai Huang

2 Chinese new year: 1.3 billion urban exodus 1/28/ The interactive map, which is updated hourly The thicker, brighter lines are the busiest routes. Current view am by Baidu

3 Outline General Remarks Power and Energy Basic Techniques o Parallelism o VLIW (parallelism and reduced overhead) o Dynamic Voltage Scaling o Dynamic Power Management 1/28/2014 Kai.Huang@tum 3

4 Power and Energy Consumption Power is considered as the most important constraint in embedded systems. [in: L. Eggermont (ed): Embedded Systems Roadmap 2002, STW] Power demands are increasing rapidly, yet battery capacity cannot keep up. [in Diztel et al.: Power-Aware Architecting for data-dominated applications, 2007, Springer] 1/28/2014 4

5 Implementation Alternatives Power efficiency 1/28/2014 5

6 Energy Efficiency Hugo De Man, IMEC, Philips, 2007 Necessary to optimize HW and SW. Use heterogeneous architectures. Apply specialization techniques. H. de Man, Keynote, DATE 02; 1/28/2014 6

7 Outline General Remarks Power and Energy Basic Techniques o Parallelism o VLIW (parallelism and reduced overhead) o Dynamic Voltage Scaling o Dynamic Power Management 1/28/2014 Kai.Huang@tum 7

8 Power and Energy are Related In many cases, faster execution also means less energy, but the opposite may be true if power has to be increased to allow faster execution. 1/28/2014 8

9 Low Power vs. Low Energy Minimizing the power consumption is important for o the design of the power supply o the design of voltage regulators o the dimensioning of interconnect o cooling (short term cooling) high cost (estimated to be rising at $1 to $3 per Watt for heat dissipation [Skadron et al. ISCA 2003]) limited space Minimizing the energy consumption is important due to o restricted availability of energy (mobile systems) o limited battery capacities (only slowly improving) o very high costs of energy (solar panels, in space) o long lifetimes, low temperatures 1/28/2014 Kai.Huang@tum 9

10 Power Consumption of a CMOS Gate subthreshold and gate-oxide leakage Ileak : leakage current Iint : short circuit current Isw : switching current 1/28/2014 Kai.Huang@tum 10

11 Power Consumption of CMOS Processors Main sources: o Dynamic power consumption charging and discharging capacitors o Short circuit power consumption short circuit path between supply rails during switching o Leakage leaking diodes and translators becomes one of the major factors due to shrinking feature sizes in semiconductor technology 1/28/2014 Kai.Huang@tum 11

12 Dynamic Voltage Scaling (DVS) Power consumption of CMOS circuits (ignoring leakage): Delay for CMOS circuits: V dd α C L f : supply voltage : switching activity : load capacity : clock frequency V dd V T : supply voltage : threshold voltage Decreasing V dd reduces P quadratically (f constant). The gate delay increases only reciprocally. Maximal frequency f max decreases linearly. 1/28/2014 Kai.Huang@tum 12

13 Potential for Energy Optimization: DVS Saving energy for a given task: o Reduce the supply voltage V dd o Reduce switching activity α o Reduce the load capacitance C L o Reduce the number of cycles #cycles 1/28/2014 Kai.Huang@tum 13

14 Example: Voltage Scaling [Courtesy, Yasuura, 2000] 1/28/

15 Power Supply Gating Power gating is one of the most effective ways of minimizing static power consumption (leakage) o Cut-off power supply to inactive units/components o Reduces leakage 1/28/2014 Kai.Huang@tum 15

16 Outline General Remarks Power and Energy Basic Techniques o Parallelism o VLIW (parallelism and reduced overhead) o Dynamic Voltage Scaling o Dynamic Power Management 1/28/2014 Kai.Huang@tum 16

17 Use of Parallelism 1/28/

18 Use of Pipelining 1/28/

19 Outline General Remarks Power and Energy Basic Techniques o Parallelism o VLIW (parallelism and reduced overhead) o Dynamic Voltage Scaling o Dynamic Power Management 1/28/2014 Kai.Huang@tum 19

20 New ideas help... Pentium Crusoe Running the same multimedia application. As published by Transmeta [ 1/28/

21 VLIW Architectures Large degree of parallelism o many computational units, (deeply) pipelined Simple hardware architecture o explicit parallelism (parallel instruction set) o parallelization is done offline (compiler) 1/28/2014 Kai.Huang@tum 21

22 Transmeta is a typical VLIW Architecture 128-bit instructions (bundles): o 4 operations per instruction o 2 combinations of instructions allowed Register files o 64 integer, 32 floating point Some interesting features o 6 stage pipeline (2x fetch, decode, register read, execute, write) o X86 ISA execution using software techniques Skip the binary compatibility problem!! Interpretation and just-in-time binary translation o Speculation support 1/28/2014 Kai.Huang@tum 22

23 Transmeta 1/28/

24 Outline General Remarks Power and Energy Basic Techniques o Parallelism o VLIW (parallelism and reduced overhead) o Dynamic Voltage Scaling o Dynamic Power Management 1/28/2014 Kai.Huang@tum 24

25 Spatial vs. Dynamic Voltage Management 1/28/

26 Potential for Energy Optimization: DVS Saving energy for a given task: o Reduce the supply voltage V dd o Reduce switching activity α o Reduce the load capacitance C L o Reduce the number of cycles #cycles 1/28/2014 Kai.Huang@tum 26

27 Example: INTEL Xscale OS should schedule distribution of the energy budget. 1/28/

28 DVS Example: a) Complete Task ASAP Task that need to execute 10² cycles within 25 seconds. V dd [V] Energy per cycle [nj] f max [MHz] Cycle time [ns] [V²] 5² 4² 10⁹ cycles@50 MHz deadline 9 E a [ J ] 9 2.5² t [s] 1/28/2014 Kai.Huang@tum 28

29 DVS Example: b) Two Voltages Task that need to execute 10² cycles within 25 seconds. V dd [V] Energy per cycle [nj] f max [MHz] Cycle time [ns] [V²] 5² 4² 2.5² 750M cycles@50 MHz + 250M cycles@25 deadline E b [ J ] t [s] 1/28/2014 Kai.Huang@tum 29

30 DVS Example: c) Optimal Voltage Task that need to execute 10² cycles within 25 seconds. V dd [V] Energy per cycle [nj] f max [MHz] Cycle time [ns] [V²] 5² 4² 10⁹ cycles@40 MHz deadline 9 E b [ J ] 9 2.5² t [s] 1/28/2014 Kai.Huang@tum 30

31 Outline General Remarks Power and Energy Basic Techniques o Parallelism o VLIW (parallelism and reduced overhead) o Dynamic Voltage Scaling o Dynamic Power Management 1/28/2014 Kai.Huang@tum 31

32 Dynamic Power V.S. Static Power 1/28/

33 1/28/

34 Dynamic Power Management (DPM) 1/28/

35 Reduce Power According to Workload 1/28/

36 Reduce Static Power Example Assumption o Given arrival curve, buffer size and deadline requirement, power parameters Problem statement o To determine the on/off periods such that energy consumption is minimized no deadline violation and buffer overflow Details see the HuangDPMOffline2009 paper 1/28/2014 Kai.Huang@tum 36

37 Basic Idea: Use RTC to Compute Bounds is the service demand to avoid deadline violation is the service demand to avoid buffer overflow 1/28/

38 Basic Idea: Choose the Bound of Min Energy Derive a periodic on/off curve which energy consumption is minimized 1/28/2014 Kai.Huang@tum 38

39 Bounding Delay Approximation From two parameters to only T off 1/28/2014 Kai.Huang@tum 39

VLIW Processors. VLIW Processors

VLIW Processors. VLIW Processors 1 VLIW Processors VLIW ( very long instruction word ) processors instructions are scheduled by the compiler a fixed number of operations are formatted as one big instruction (called a bundle) usually LIW

More information

ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-12: ARM

ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-12: ARM ADVANCED PROCESSOR ARCHITECTURES AND MEMORY ORGANISATION Lesson-12: ARM 1 The ARM architecture processors popular in Mobile phone systems 2 ARM Features ARM has 32-bit architecture but supports 16 bit

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

A Taxonomy and Survey of Energy-Efficient Data Centers and Cloud Computing Systems

A Taxonomy and Survey of Energy-Efficient Data Centers and Cloud Computing Systems A Taxonomy and Survey of Energy-Efficient Data Centers and Cloud Computing Systems Anton Beloglazov, Rajkumar Buyya, Young Choon Lee, and Albert Zomaya Present by Leping Wang 1/25/2012 Outline Background

More information

Computer Architecture TDTS10

Computer Architecture TDTS10 why parallelism? Performance gain from increasing clock frequency is no longer an option. Outline Computer Architecture TDTS10 Superscalar Processors Very Long Instruction Word Processors Parallel computers

More information

Pipelining Review and Its Limitations

Pipelining Review and Its Limitations Pipelining Review and Its Limitations Yuri Baida yuri.baida@gmail.com yuriy.v.baida@intel.com October 16, 2010 Moscow Institute of Physics and Technology Agenda Review Instruction set architecture Basic

More information

CD4027BC Dual J-K Master/Slave Flip-Flop with Set and Reset

CD4027BC Dual J-K Master/Slave Flip-Flop with Set and Reset October 1987 Revised March 2002 CD4027BC Dual J-K Master/Slave Flip-Flop with Set and Reset General Description The CD4027BC dual J-K flip-flops are monolithic complementary MOS (CMOS) integrated circuits

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

Learn About Energy Conservation in Computer Systems

Learn About Energy Conservation in Computer Systems Power-aware Computing Systems Dagstuhl Seminar 05141 April 3rd to April 8th 2005 Luca Benini 1, Uli Kremer 2, Christian W. Probst 3, and Peter Schelkens 4 1 Universita di Bologna, DEIS Viale Risorgimento

More information

CMOS, the Ideal Logic Family

CMOS, the Ideal Logic Family CMOS, the Ideal Logic Family INTRODUCTION Let s talk about the characteristics of an ideal logic family. It should dissipate no power, have zero propagation delay, controlled rise and fall times, and have

More information

CPU Performance. Lecture 8 CAP 3103 06-11-2014

CPU Performance. Lecture 8 CAP 3103 06-11-2014 CPU Performance Lecture 8 CAP 3103 06-11-2014 Defining Performance Which airplane has the best performance? 1.6 Performance Boeing 777 Boeing 777 Boeing 747 BAC/Sud Concorde Douglas DC-8-50 Boeing 747

More information

1. Memory technology & Hierarchy

1. Memory technology & Hierarchy 1. Memory technology & Hierarchy RAM types Advances in Computer Architecture Andy D. Pimentel Memory wall Memory wall = divergence between CPU and RAM speed We can increase bandwidth by introducing concurrency

More information

EVALUATING POWER MANAGEMENT CAPABILITIES OF LOW-POWER CLOUD PLATFORMS. Jens Smeds

EVALUATING POWER MANAGEMENT CAPABILITIES OF LOW-POWER CLOUD PLATFORMS. Jens Smeds EVALUATING POWER MANAGEMENT CAPABILITIES OF LOW-POWER CLOUD PLATFORMS Jens Smeds Master of Science Thesis Supervisor: Prof. Johan Lilius Advisor: Dr. Sébastien Lafond Embedded Systems Laboratory Department

More information

Solution: start more than one instruction in the same clock cycle CPI < 1 (or IPC > 1, Instructions per Cycle) Two approaches:

Solution: start more than one instruction in the same clock cycle CPI < 1 (or IPC > 1, Instructions per Cycle) Two approaches: Multiple-Issue Processors Pipelining can achieve CPI close to 1 Mechanisms for handling hazards Static or dynamic scheduling Static or dynamic branch handling Increase in transistor counts (Moore s Law):

More information

Lecture 11: Multi-Core and GPU. Multithreading. Integration of multiple processor cores on a single chip.

Lecture 11: Multi-Core and GPU. Multithreading. Integration of multiple processor cores on a single chip. Lecture 11: Multi-Core and GPU Multi-core computers Multithreading GPUs General Purpose GPUs Zebo Peng, IDA, LiTH 1 Multi-Core System Integration of multiple processor cores on a single chip. To provide

More information

Photonic Networks for Data Centres and High Performance Computing

Photonic Networks for Data Centres and High Performance Computing Photonic Networks for Data Centres and High Performance Computing Philip Watts Department of Electronic Engineering, UCL Yury Audzevich, Nick Barrow-Williams, Robert Mullins, Simon Moore, Andrew Moore

More information

MM74HC273 Octal D-Type Flip-Flops with Clear

MM74HC273 Octal D-Type Flip-Flops with Clear MM74HC273 Octal D-Type Flip-Flops with Clear General Description The MM74HC273 edge triggered flip-flops utilize advanced silicon-gate CMOS technology to implement D-type flipflops. They possess high noise

More information

CD4001BC/CD4011BC Quad 2-Input NOR Buffered B Series Gate Quad 2-Input NAND Buffered B Series Gate

CD4001BC/CD4011BC Quad 2-Input NOR Buffered B Series Gate Quad 2-Input NAND Buffered B Series Gate CD4001BC/CD4011BC Quad 2-Input NOR Buffered B Series Gate Quad 2-Input NAND Buffered B Series Gate General Description The CD4001BC and CD4011BC quad gates are monolithic complementary MOS (CMOS) integrated

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

CISC, RISC, and DSP Microprocessors

CISC, RISC, and DSP Microprocessors CISC, RISC, and DSP Microprocessors Douglas L. Jones ECE 497 Spring 2000 4/6/00 CISC, RISC, and DSP D.L. Jones 1 Outline Microprocessors circa 1984 RISC vs. CISC Microprocessors circa 1999 Perspective:

More information

CMOS Power Consumption and C pd Calculation

CMOS Power Consumption and C pd Calculation CMOS Power Consumption and C pd Calculation SCAA035B June 1997 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor product or

More information

CD40174BC CD40175BC Hex D-Type Flip-Flop Quad D-Type Flip-Flop

CD40174BC CD40175BC Hex D-Type Flip-Flop Quad D-Type Flip-Flop Hex D-Type Flip-Flop Quad D-Type Flip-Flop General Description The CD40174BC consists of six positive-edge triggered D- type flip-flops; the true outputs from each flip-flop are externally available. The

More information

Power Analysis of Link Level and End-to-end Protection in Networks on Chip

Power Analysis of Link Level and End-to-end Protection in Networks on Chip Power Analysis of Link Level and End-to-end Protection in Networks on Chip Axel Jantsch, Robert Lauter, Arseni Vitkowski Royal Institute of Technology, tockholm May 2005 ICA 2005 1 ICA 2005 2 Overview

More information

MM74HC174 Hex D-Type Flip-Flops with Clear

MM74HC174 Hex D-Type Flip-Flops with Clear Hex D-Type Flip-Flops with Clear General Description The MM74HC174 edge triggered flip-flops utilize advanced silicon-gate CMOS technology to implement D-type flipflops. They possess high noise immunity,

More information

CD4027BM CD4027BC Dual J-K Master Slave Flip-Flop with Set and Reset

CD4027BM CD4027BC Dual J-K Master Slave Flip-Flop with Set and Reset CD4027BM CD4027BC Dual J-K Master Slave Flip-Flop with Set and Reset General Description These dual J-K flip-flops are monolithic complementary MOS (CMOS) integrated circuits constructed with N- and P-

More information

CD4008BM CD4008BC 4-Bit Full Adder

CD4008BM CD4008BC 4-Bit Full Adder CD4008BM CD4008BC 4-Bit Full Adder General Description The CD4008B types consist of four full-adder stages with fast look-ahead carry provision from stage to stage Circuitry is included to provide a fast

More information

on an system with an infinite number of processors. Calculate the speedup of

on an system with an infinite number of processors. Calculate the speedup of 1. Amdahl s law Three enhancements with the following speedups are proposed for a new architecture: Speedup1 = 30 Speedup2 = 20 Speedup3 = 10 Only one enhancement is usable at a time. a) If enhancements

More information

Chapter 10 Advanced CMOS Circuits

Chapter 10 Advanced CMOS Circuits Transmission Gates Chapter 10 Advanced CMOS Circuits NMOS Transmission Gate The active pull-up inverter circuit leads one to thinking about alternate uses of NMOS devices. Consider the circuit shown in

More information

TPN4R712MD TPN4R712MD. 1. Applications. 2. Features. 3. Packaging and Internal Circuit. 2014-12 2015-04-21 Rev.4.0. Silicon P-Channel MOS (U-MOS )

TPN4R712MD TPN4R712MD. 1. Applications. 2. Features. 3. Packaging and Internal Circuit. 2014-12 2015-04-21 Rev.4.0. Silicon P-Channel MOS (U-MOS ) MOSFETs Silicon P-Channel MOS (U-MOS) TPN4R712MD TPN4R712MD 1. Applications Lithium-Ion Secondary Batteries Power Management Switches 2. Features (1) Low drain-source on-resistance: R DS(ON) = 3.8 mω (typ.)

More information

SSM3K335R SSM3K335R. 1. Applications. 2. Features. 3. Packaging and Pin Configuration. 2012-07-19 Rev.3.0. Silicon N-Channel MOS (U-MOS -H)

SSM3K335R SSM3K335R. 1. Applications. 2. Features. 3. Packaging and Pin Configuration. 2012-07-19 Rev.3.0. Silicon N-Channel MOS (U-MOS -H) MOSFETs Silicon N-Channel MOS (U-MOS-H) SSM3K335R SSM3K335R 1. Applications Power Management Switches DC-DC Converters 2. Features (1) 4.5-V gate drive voltage. (2) Low drain-source on-resistance : R DS(ON)

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

74HC154; 74HCT154. 4-to-16 line decoder/demultiplexer

74HC154; 74HCT154. 4-to-16 line decoder/demultiplexer Rev. 7 29 February 2016 Product data sheet 1. General description 2. Features and benefits 3. Ordering information The is a. It decodes four binary weighted address inputs (A0 to A3) to sixteen mutually

More information

MADR-009269-0001TR. Single Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. V1. Functional Schematic. Features.

MADR-009269-0001TR. Single Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. V1. Functional Schematic. Features. Features High Voltage CMOS Technology Complementary Outputs Positive Voltage Control CMOS device using TTL input levels Low Power Dissipation Low Cost Plastic SOIC-8 Package 100% Matte Tin Plating over

More information

MM74C150 MM82C19 16-Line to 1-Line Multiplexer 3-STATE 16-Line to 1-Line Multiplexer

MM74C150 MM82C19 16-Line to 1-Line Multiplexer 3-STATE 16-Line to 1-Line Multiplexer MM74C150 MM82C19 16-Line to 1-Line Multiplexer 3-STATE 16-Line to 1-Line Multiplexer General Description The MM74C150 and MM82C19 multiplex 16 digital lines to 1 output. A 4-bit address code determines

More information

1 pc Charge Injection, 100 pa Leakage CMOS 5 V/5 V/3 V 4-Channel Multiplexer ADG604

1 pc Charge Injection, 100 pa Leakage CMOS 5 V/5 V/3 V 4-Channel Multiplexer ADG604 a FEATURES 1 pc Charge Injection (Over the Full Signal Range) 2.7 V to 5.5 V ual Supply 2.7 V to 5.5 ingle Supply Automotive Temperature Range: 4 C to +125 C 1 pa Max @ 25 C Leakage Currents 85 Typ On

More information

4-bit binary full adder with fast carry CIN + (A1 + B1) + 2(A2 + B2) + 4(A3 + B3) + 8(A4 + B4) = = S1 + 2S2 + 4S3 + 8S4 + 16COUT

4-bit binary full adder with fast carry CIN + (A1 + B1) + 2(A2 + B2) + 4(A3 + B3) + 8(A4 + B4) = = S1 + 2S2 + 4S3 + 8S4 + 16COUT Rev. 03 11 November 2004 Product data sheet 1. General description 2. Features The is a high-speed Si-gate CMOS device and is pin compatible with low power Schottky TTL (LSTTL). The is specified in compliance

More information

74HC393; 74HCT393. Dual 4-bit binary ripple counter

74HC393; 74HCT393. Dual 4-bit binary ripple counter Rev. 6 3 December 2015 Product data sheet 1. General description 2. Features and benefits 3. Ordering information The 74HC393; 7474HCT393 is a dual 4-stage binary ripple counter. Each counter features

More information

74HC238; 74HCT238. 3-to-8 line decoder/demultiplexer

74HC238; 74HCT238. 3-to-8 line decoder/demultiplexer Rev. 4 27 January 2016 Product data sheet 1. General description 2. Features and benefits 3. Ordering information The decodes three binary weighted address inputs (A0, A1 and A2) to eight mutually exclusive

More information

Embedded System Hardware - Processing (Part II)

Embedded System Hardware - Processing (Part II) 12 Embedded System Hardware - Processing (Part II) Jian-Jia Chen (Slides are based on Peter Marwedel) Informatik 12 TU Dortmund Germany Springer, 2010 2014 年 11 月 11 日 These slides use Microsoft clip arts.

More information

MM74HC14 Hex Inverting Schmitt Trigger

MM74HC14 Hex Inverting Schmitt Trigger MM74HC14 Hex Inverting Schmitt Trigger General Description The MM74HC14 utilizes advanced silicon-gate CMOS technology to achieve the low power dissipation and high noise immunity of standard CMOS, as

More information

CMOS Binary Full Adder

CMOS Binary Full Adder CMOS Binary Full Adder A Survey of Possible Implementations Group : Eren Turgay Aaron Daniels Michael Bacelieri William Berry - - Table of Contents Key Terminology...- - Introduction...- 3 - Design Architectures...-

More information

MADR-009190-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. 4. Functional Schematic. Features.

MADR-009190-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. 4. Functional Schematic. Features. Features High Voltage CMOS Technology Four Channel Positive Voltage Control CMOS device using TTL input levels Low Power Dissipation Low Cost Lead-Free SOIC-16 Plastic Package Halogen-Free Green Mold Compound

More information

CMOS Logic Integrated Circuits

CMOS Logic Integrated Circuits CMOS Logic Integrated Circuits Introduction CMOS Inverter Parameters of CMOS circuits Circuits for protection Output stage for CMOS circuits Buffering circuits Introduction Symetrical and complementary

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

MM74HCT373 MM74HCT374 3-STATE Octal D-Type Latch 3-STATE Octal D-Type Flip-Flop

MM74HCT373 MM74HCT374 3-STATE Octal D-Type Latch 3-STATE Octal D-Type Flip-Flop 3-STATE Octal D-Type Latch 3-STATE Octal D-Type Flip-Flop General Description The MM74HCT373 octal D-type latches and MM74HCT374 Octal D-type flip flops advanced silicongate CMOS technology, which provides

More information

DM74LS169A Synchronous 4-Bit Up/Down Binary Counter

DM74LS169A Synchronous 4-Bit Up/Down Binary Counter Synchronous 4-Bit Up/Down Binary Counter General Description This synchronous presettable counter features an internal carry look-ahead for cascading in high-speed counting applications. Synchronous operation

More information

8-bit binary counter with output register; 3-state

8-bit binary counter with output register; 3-state Rev. 3 24 February 2016 Product data sheet 1. General description The is an 8-bit binary counter with a storage register and 3-state outputs. The storage register has parallel (Q0 to Q7) outputs. The binary

More information

ARM Microprocessor and ARM-Based Microcontrollers

ARM Microprocessor and ARM-Based Microcontrollers ARM Microprocessor and ARM-Based Microcontrollers Nguatem William 24th May 2006 A Microcontroller-Based Embedded System Roadmap 1 Introduction ARM ARM Basics 2 ARM Extensions Thumb Jazelle NEON & DSP Enhancement

More information

74HC165; 74HCT165. 8-bit parallel-in/serial out shift register

74HC165; 74HCT165. 8-bit parallel-in/serial out shift register Rev. 4 28 December 2015 Product data sheet 1. General description 2. Features and benefits 3. Applications 4. Ordering information The is an 8-bit serial or parallel-in/serial-out shift register. The device

More information

12. Introduction to Virtual Machines

12. Introduction to Virtual Machines 12. Introduction to Virtual Machines 12. Introduction to Virtual Machines Modern Applications Challenges of Virtual Machine Monitors Historical Perspective Classification 332 / 352 12. Introduction to

More information

74HC138; 74HCT138. 3-to-8 line decoder/demultiplexer; inverting

74HC138; 74HCT138. 3-to-8 line decoder/demultiplexer; inverting Rev. 6 28 December 2015 Product data sheet 1. General description 2. Features and benefits 3. Ordering information The decodes three binary weighted address inputs (A0, A1 and A2) to eight mutually exclusive

More information

PS323. Precision, Single-Supply SPST Analog Switch. Features. Description. Block Diagram, Pin Configuration, and Truth Table. Applications PS323 PS323

PS323. Precision, Single-Supply SPST Analog Switch. Features. Description. Block Diagram, Pin Configuration, and Truth Table. Applications PS323 PS323 Features ÎÎLow On-Resistance (33-ohm typ.) Minimizes Distortion and Error Voltages ÎÎLow Glitching Reduces Step Errors in Sample-and-Holds. Charge Injection, 2pC typ. ÎÎSingle-Supply Operation (+2.5V to

More information

MM74HC4538 Dual Retriggerable Monostable Multivibrator

MM74HC4538 Dual Retriggerable Monostable Multivibrator MM74HC4538 Dual Retriggerable Monostable Multivibrator General Description The MM74HC4538 high speed monostable multivibrator (one shots) is implemented in advanced silicon-gate CMOS technology. They feature

More information

Introduction to Virtual Machines

Introduction to Virtual Machines Introduction to Virtual Machines Introduction Abstraction and interfaces Virtualization Computer system architecture Process virtual machines System virtual machines 1 Abstraction Mechanism to manage complexity

More information

Design and Construction of Variable DC Source for Laboratory Using Solar Energy

Design and Construction of Variable DC Source for Laboratory Using Solar Energy International Journal of Electronics and Computer Science Engineering 228 Available Online at www.ijecse.org ISSN- 2277-1956 Design and Construction of Variable DC Source for Laboratory Using Solar Energy

More information

Low-power configurable multiple function gate

Low-power configurable multiple function gate Rev. 7 10 September 2014 Product data sheet 1. General description The provides configurable multiple functions. The output state is determined by eight patterns of 3-bit input. The user can choose the

More information

MADR-009443-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators. Functional Schematic. Features. Description. Pin Configuration 2

MADR-009443-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators. Functional Schematic. Features. Description. Pin Configuration 2 Features Functional Schematic High Voltage CMOS Technology Four Channel Positive Voltage Control CMOS device using TTL input levels Low Power Dissipation Low Cost 4x4 mm, 20-lead PQFN Package 100% Matte

More information

Solar Energy Conversion using MIAC. by Tharowat Mohamed Ali, May 2011

Solar Energy Conversion using MIAC. by Tharowat Mohamed Ali, May 2011 Solar Energy Conversion using MIAC by Tharowat Mohamed Ali, May 2011 Abstract This work introduces an approach to the design of a boost converter for a photovoltaic (PV) system using the MIAC. The converter

More information

Q. Consider a dynamic instruction execution (an execution trace, in other words) that consists of repeats of code in this pattern:

Q. Consider a dynamic instruction execution (an execution trace, in other words) that consists of repeats of code in this pattern: Pipelining HW Q. Can a MIPS SW instruction executing in a simple 5-stage pipelined implementation have a data dependency hazard of any type resulting in a nop bubble? If so, show an example; if not, prove

More information

INTEGRATED CIRCUITS. 74LVC08A Quad 2-input AND gate. Product specification IC24 Data Handbook. 1997 Jun 30

INTEGRATED CIRCUITS. 74LVC08A Quad 2-input AND gate. Product specification IC24 Data Handbook. 1997 Jun 30 INTEGRATED CIRCUITS IC24 Data Handbook 1997 Jun 30 FEATURES Wide supply voltage range of 1.2 V to 3.6 V In accordance with JEDEC standard no. 8-1A Inputs accept voltages up to 5.5 V CMOS low power consumption

More information

Automist - A Tool for Automated Instruction Set Characterization of Embedded Processors

Automist - A Tool for Automated Instruction Set Characterization of Embedded Processors Automist - A Tool for Automated Instruction Set Characterization of Embedded Processors Manuel Wendt 1, Matthias Grumer 1, Christian Steger 1, Reinhold Weiß 1, Ulrich Neffe 2 and Andreas Mühlberger 2 1

More information

3-to-8 line decoder, demultiplexer with address latches

3-to-8 line decoder, demultiplexer with address latches Rev. 7 29 January 2016 Product data sheet 1. General description The is a high-speed Si-gate CMOS device and is pin compatible with low-power Schottky TTL (LSTTL). The is specified in compliance with JEDEC

More information

Application Note 58 Crystal Considerations with Dallas Real Time Clocks

Application Note 58 Crystal Considerations with Dallas Real Time Clocks www.dalsemi.com Application Note 58 Crystal Considerations with Dallas Real Time Clocks Dallas Semiconductor offers a variety of real time clocks (RTCs). The majority of these are available either as integrated

More information

2.996/6.971 Biomedical Devices Design Laboratory Lecture 4: Power Supplies

2.996/6.971 Biomedical Devices Design Laboratory Lecture 4: Power Supplies 2.996/6.971 Biomedical Devices Design Laboratory Lecture 4: Power Supplies Instructor: Dr. Hong Ma Sept. 19, 2007 Key Problem Ideal voltage sources do not exist! Voltage regulators use feedback to reduce

More information

Processor Architectures

Processor Architectures ECPE 170 Jeff Shafer University of the Pacific Processor Architectures 2 Schedule Exam 3 Tuesday, December 6 th Caches Virtual Memory Input / Output OperaKng Systems Compilers & Assemblers Processor Architecture

More information

time instructions easily predictable regions (a) original execution flow speculation stream. verification stream (b) contrail processor (4PEs) squash

time instructions easily predictable regions (a) original execution flow speculation stream. verification stream (b) contrail processor (4PEs) squash A Preliminary Evaluation on Energy Eciency of a Temperature-aware Multicore-processor Hidenori Sato Seiko Epson Corporation hide@mickey.ai.kyutech.ac.jp Toshinori Sato PRESTO, JST toshinori.sato@computer.org

More information

Memory Characterization to Analyze and Predict Multimedia Performance and Power in an Application Processor

Memory Characterization to Analyze and Predict Multimedia Performance and Power in an Application Processor WHITE PAPER Memory Characterization to Analyze and Predict Multimedia Performance and Power in an Application Processor Yu Bai Staff Engineer, APSE Marvell November 2011 www.marvell.com Introduction: Nowadays,

More information

DM9368 7-Segment Decoder/Driver/Latch with Constant Current Source Outputs

DM9368 7-Segment Decoder/Driver/Latch with Constant Current Source Outputs DM9368 7-Segment Decoder/Driver/Latch with Constant Current Source Outputs General Description The DM9368 is a 7-segment decoder driver incorporating input latches and constant current output circuits

More information

www.jameco.com 1-800-831-4242

www.jameco.com 1-800-831-4242 Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. LF411 Low Offset, Low Drift JFET Input Operational Amplifier General Description

More information

Flash Corruption: Software Bug or Supply Voltage Fault?

Flash Corruption: Software Bug or Supply Voltage Fault? : Software Bug or Supply Voltage Fault? Shyam Chandra, Lattice Semiconductor Answer: Both! Flash memory is commonly used to store firmware in embedded systems. Occasionally, the firmware stored in the

More information

Networking Virtualization Using FPGAs

Networking Virtualization Using FPGAs Networking Virtualization Using FPGAs Russell Tessier, Deepak Unnikrishnan, Dong Yin, and Lixin Gao Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Massachusetts,

More information

74HC02; 74HCT02. 1. General description. 2. Features and benefits. Ordering information. Quad 2-input NOR gate

74HC02; 74HCT02. 1. General description. 2. Features and benefits. Ordering information. Quad 2-input NOR gate Rev. 5 26 November 2015 Product data sheet 1. General description 2. Features and benefits The is a quad 2-input NOR gate. Inputs include clamp diodes. This enables the use of current limiting resistors

More information

N-channel enhancement mode TrenchMOS transistor

N-channel enhancement mode TrenchMOS transistor FEATURES SYMBOL QUICK REFERENCE DATA Trench technology d V DSS = V Low on-state resistance Fast switching I D = A High thermal cycling performance Low thermal resistance R DS(ON) mω (V GS = V) g s R DS(ON)

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

Cold-Junction-Compensated K-Thermocoupleto-Digital Converter (0 C to +1024 C)

Cold-Junction-Compensated K-Thermocoupleto-Digital Converter (0 C to +1024 C) 19-2235; Rev 1; 3/02 Cold-Junction-Compensated K-Thermocoupleto-Digital General Description The performs cold-junction compensation and digitizes the signal from a type-k thermocouple. The data is output

More information

Efficient Big Data Analytics Computing: A Research Challenge

Efficient Big Data Analytics Computing: A Research Challenge Efficient Big Data Analytics Computing: A Research Challenge Wilfred Pinfold Director, Extreme Scale Programs 1 Agenda Intel Big Data Context Overview Key Research Areas Challenges Partnerships 2 Meeting

More information

CSEE W4824 Computer Architecture Fall 2012

CSEE W4824 Computer Architecture Fall 2012 CSEE W4824 Computer Architecture Fall 2012 Lecture 2 Performance Metrics and Quantitative Principles of Computer Design Luca Carloni Department of Computer Science Columbia University in the City of New

More information

EE482: Advanced Computer Organization Lecture #11 Processor Architecture Stanford University Wednesday, 31 May 2000. ILP Execution

EE482: Advanced Computer Organization Lecture #11 Processor Architecture Stanford University Wednesday, 31 May 2000. ILP Execution EE482: Advanced Computer Organization Lecture #11 Processor Architecture Stanford University Wednesday, 31 May 2000 Lecture #11: Wednesday, 3 May 2000 Lecturer: Ben Serebrin Scribe: Dean Liu ILP Execution

More information

74HC4067; 74HCT4067. 16-channel analog multiplexer/demultiplexer

74HC4067; 74HCT4067. 16-channel analog multiplexer/demultiplexer Rev. 6 22 May 2015 Product data sheet 1. General description The is a single-pole 16-throw analog switch (SP16T) suitable for use in analog or digital 16:1 multiplexer/demultiplexer applications. The switch

More information

Design Cycle for Microprocessors

Design Cycle for Microprocessors Cycle for Microprocessors Raúl Martínez Intel Barcelona Research Center Cursos de Verano 2010 UCLM Intel Corporation, 2010 Agenda Introduction plan Architecture Microarchitecture Logic Silicon ramp Types

More information

Features Benefits Applications

Features Benefits Applications N9 N-Channel JFET Product Summary V GS(off) (V) V (BR)GSS Min (V) g fs Min SS Min (ma) 5 Features Benefits Applications Excellent High-Frequency Gain: Gps db @ MHz Very Low Noise: db @ MHz Very Low Distortion

More information

Power-Aware High-Performance Scientific Computing

Power-Aware High-Performance Scientific Computing Power-Aware High-Performance Scientific Computing Padma Raghavan Scalable Computing Laboratory Department of Computer Science Engineering The Pennsylvania State University http://www.cse.psu.edu/~raghavan

More information

PowerPC Microprocessor Clock Modes

PowerPC Microprocessor Clock Modes nc. Freescale Semiconductor AN1269 (Freescale Order Number) 1/96 Application Note PowerPC Microprocessor Clock Modes The PowerPC microprocessors offer customers numerous clocking options. An internal phase-lock

More information

DM74LS191 Synchronous 4-Bit Up/Down Counter with Mode Control

DM74LS191 Synchronous 4-Bit Up/Down Counter with Mode Control August 1986 Revised February 1999 DM74LS191 Synchronous 4-Bit Up/Down Counter with Mode Control General Description The DM74LS191 circuit is a synchronous, reversible, up/ down counter. Synchronous operation

More information

14-stage ripple-carry binary counter/divider and oscillator

14-stage ripple-carry binary counter/divider and oscillator Rev. 8 25 March 2016 Product data sheet 1. General description 2. Features and benefits 3. Ordering information The is a with three oscillator terminals (RS, REXT and CEXT), ten buffered outputs (Q3 to

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

Programming Logic controllers

Programming Logic controllers Programming Logic controllers Programmable Logic Controller (PLC) is a microprocessor based system that uses programmable memory to store instructions and implement functions such as logic, sequencing,

More information

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures Sheng Li, Junh Ho Ahn, Richard Strong, Jay B. Brockman, Dean M Tullsen, Norman Jouppi MICRO 2009

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS

More information

74VHC574 Octal D-Type Flip-Flop with 3-STATE Outputs

74VHC574 Octal D-Type Flip-Flop with 3-STATE Outputs 74HC574 Octal D-Type Flip-Flop with 3-STATE Outputs General Description Ordering Code: March 1993 Revised May 2005 The HC574 is an advanced high speed CMOS octal flipflop with 3-STATE output fabricated

More information

Performance evaluation

Performance evaluation Performance evaluation Arquitecturas Avanzadas de Computadores - 2547021 Departamento de Ingeniería Electrónica y de Telecomunicaciones Facultad de Ingeniería 2015-1 Bibliography and evaluation Bibliography

More information

HEF4021B. 1. General description. 2. Features and benefits. 3. Ordering information. 8-bit static shift register

HEF4021B. 1. General description. 2. Features and benefits. 3. Ordering information. 8-bit static shift register Rev. 10 21 March 2016 Product data sheet 1. General description 2. Features and benefits 3. Ordering information The is an (parallel-to-serial converter) with a synchronous serial data input (DS), a clock

More information

Lecture 10: Latch and Flip-Flop Design. Outline

Lecture 10: Latch and Flip-Flop Design. Outline Lecture 1: Latch and Flip-Flop esign Slides orginally from: Vladimir Stojanovic Computer Systems Laboratory Stanford University horowitz@stanford.edu 1 Outline Recent interest in latches and flip-flops

More information

High and Low Side Driver

High and Low Side Driver High and Low Side Driver Features Product Summary Floating channel designed for bootstrap operation Fully operational to 200V Tolerant to negative transient voltage, dv/dt immune Gate drive supply range

More information

HCF4028B BCD TO DECIMAL DECODER

HCF4028B BCD TO DECIMAL DECODER BCD TO DECIMAL DECODER BCD TO DECIMAL DECODING OR BINARY TO OCTAL DECODING HIGH DECODED OUTPUT DRIVE CAPABILITY "POSITIVE LOGIC" INPUTS AND OUTPUTS: DECODED OUTPUTS GO HIGH ON SELECTION MEDIUM SPEED OPERATION

More information

74HCU04. 1. General description. 2. Features and benefits. 3. Ordering information. Hex unbuffered inverter

74HCU04. 1. General description. 2. Features and benefits. 3. Ordering information. Hex unbuffered inverter Rev. 7 8 December 2015 Product data sheet 1. General description The is a hex unbuffered inverter. Inputs include clamp diodes. This enables the use of current limiting resistors to interface inputs to

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SEET For a complete data sheet, please also download: The IC6 74C/CT/CU/CMOS ogic Family Specifications The IC6 74C/CT/CU/CMOS ogic Package Information The IC6 74C/CT/CU/CMOS ogic

More information