SOLUTIONS MANUAL DIGITAL DESIGN FOURTH EDITION M. MORRIS MANO California State University, Los Angeles MICHAEL D.

Size: px
Start display at page:

Download "www.mohandesyar.com SOLUTIONS MANUAL DIGITAL DESIGN FOURTH EDITION M. MORRIS MANO California State University, Los Angeles MICHAEL D."

Transcription

1 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ SOLUTIONS MNUL IGITL ESIGN FOURTH EITION M. MORRIS MNO alifornia State University, Los ngeles MIHEL. ILETTI University of olorado, olorado Springs rev /2/27 igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

2 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ HPTER. ase-: Octal: He: E F 2 ase (a) 32,768 (b) 67,8,864 (c) 6,87,947,674.3 (43) 5 = 4 * * * 5 = 58 (98) 2 = * * * 2 = 26 (735) 8 = 7 * * * 8 = 477 (525) 6 = 5 * * * 6 = bit binary: ecimal: = 6,383 Headecimal: 3FFF 6.5 Let b = base (a) 4/2 = (b + 4)/2 = 5, so b = 6 (b) 54/4 = (5*b + 4)/4 = b + 3, so 5 * b = 52 4, and b = 8 (c) (2 *b + 4) + (b + 7) = 4b, so b =.6 ( 3)( 6) = 2 (6 + 3) + 6*3 = Therefore: = b + m so b = 8 lso, 6*3 = (8) = (22) E = = = (64276) 8.8 (a) Results of repeated division by 2 (quotients are followed by remainders): 43 = 25(); 7(); 53(); 26(); 3(); 6() 3() () nswer: _ 2 = F 6 (b) Results of repeated division by 6: 43 = 26(5); () (Faster) nswer: F = _.9 (a). 2 = = (b) = *(.65) = (c) = 2 * /8 + 4/64 = igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

3 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (d) FF. 6 = 5*6 3 + * *6 + + /6 = 64, (e). 2 = =.625. (a). 2 =. 2 =.9 6 = + 9/6 =.563 (b). 2 =. 2 = = 6 + 4/6 = 6.25 Reason:. 2 is the same as. 2 shifted to the left by two places.... The quotient is carried to two decimal places, giving. hecking: 2 / 2 = 59 / 5. 2 = (a) and + = 6 = 55 (b) 62 h and 958 h 2E h _ 2E h +34 h _ 34 h 62 h _ = h = (a) onvert to binary: Integer Remainder oefficient Quotient 27/2 = 3 + ½ a = 3/2 6 + ½ a = 6/2 3 + a 2 = 3/2 + ½ a 3 = ½ + ½ a 4 = igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

4 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ = 2 Integer Fraction oefficient.35 2 = +.63 a - =.63 2 = +.26 a -2 =.26 2 = +.52 a -3 =.52 2 = +.4 a -4 = = = (b) 2/ Integer Fraction oefficient.6666_6666_67 2 = _3333_34 a - = = a -2 = = a -3 = = a -4 = = a -5 = = a -6 = = a -7 = = a -8 = = = =._ 2 =. 6 = /6 + /256 =.664 (Same as (b))..4 (a) _ (b) _ (c) _ s comp: _ s comp: _ s comp: _ 2s comp: _ 2s comp: _ 2s comp: _ (d) _ (e) _ (f) _ s comp: _ s comp: _ s comp: _ 2s comp: _ 2s comp: _ 2s comp: _.5 (a) 52,784,63 (b) 63,325,6 9s comp: 47,25,369 9s comp: 36,674,399 s comp: 47,25,37 s comp: 36,674,4 (c) 25,, (d),, 9s comp: 74,999,999 9s comp: 99,999,999 s comp: 75,, s comp:,,.6 2F 2F: 5s comp: 45 s comp: 6s comp: 46 2s comp: = 46.7 (a) (9s comp) 9659 (s comp) = = 39 (b) (9s comp) 982 ( comp) 25 8 = = (negative) Magnitude: 675 Result: 25 8 = 675 igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

5 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (c) (9s comp) (s comp) = = 9589 (Negative) Magnitude: 49 Result: = -49 (d) (9s comp) (s comp) = = 886 (Positive) Result: = Note: onsider sign etension with 2s complement arithmetic. (a) (b) s comp: s comp: with sign etension 2s comp: 2s comp: iff: sign bit indicates that the result is negative 2s complement - result (c) (d) s comp: s comp: with sign etension 2s comp: 2s comp: iff: (negative) sign bit indicates that the result is positive (2s comp) heck: 48-2 = 27 - (diff is -3) ; +8 8; ; (a) (+9286) + (_8) = = 87 (b) (+9286) + (-8) = = 8485 (c) (-9286) + (+8) = = 9955 (d) (-9286) + (-8) = = _ (Needs leading zero indicate + value); +29 _ (Leading indicates + value) -49 _; -29 _ (a) (+29) + (-49) = _ + _ = _ ( indicates negative value.) Magnitude = _; Result (+29) + (-49) = -2 (b) (-29) + (+49) = _ + _ = _ ( indicates positive value) (-29) + (+49) = +2 (c) Must increase word size by (sign etension) to accomodate overflow of values: (-29) + (-49) = _ + _ = _ ( indicates negative result) Magnitude: _ = 78 Result: (-29) + (-49) = -78 igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

6 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (9's comp) (s) comp (9's comp) (s) comp (a) (+9742) + (+64) 383 (b) (+9742) + (-64) = 92 Result: (+9742) + (-64) = 92 (c) -9742) + (+64) = = (negative) Magnitude: 9 Result: (-9742) + (64) = -9 (d) (-9742) + (-64) = = (Negative) Magnitude: 383 Result: (-9742) + (-64) = ,723 : SII:.23 ( 842) (+537) (,379).24 (a) (b) 6 3 ecimal (or ) (or ) ecimal (or ) (a) 5,37 : (b) Ecess-3: (c) 242: (d) 63:.26 5,37 9s omp: 4, code: s comp: same as (c) in.25 igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

7 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ For a deck with 52 cards, we need 6 bits (32 < 52 < 64). Let the msb's select the suit (e.g., diamonds, hearts, clubs, spades are encoded respectively as,,, and. The remaining four bits select the "number" of the card. Eample: (ace) through (9), plus through (jack, queen, king). This a jack of spades might be coded as _. (Note: only 52 out of 64 patterns are used.).28 G (dot) (space) o o l e.29 ill Gates.3 73 F4 E5 76 E5 4 EF : s F4: t E5: e 76: v E5: e 4: j EF: o 62: b 73: s = 94 printing characters.32 bit 6 from the right.33 (a) 897 (b) 564 (c) 87 (d) 2,99.34 SII for decimal digits with odd parity: (): (): (2): (3): (4): (5): (6): (7): (8): (9):.35 (a) a b c a f b g c f g.36 a b a f b g f g igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

8 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ HPTER 2 2. (a) y z + y + z ( + y + z)' ' y' z' ' y' z' y z (yz) (yz)' ' y' z' ' + y' + z' (b) (c) y z + yz ( + y) ( + z) ( + y)( + z) y z (y + z) y z y + z (c) (d) y z y + z + (y + z) ( + y) ( + y) + z y z yz (yz) y (y)z 2.2 (a) y + y' = (y + y') = (b) ( + y)( + y') = + yy' = ( +y') + y( + y') = + y' + y + yy' = (c) yz + 'y + yz' = y(z + z') + 'y = y + 'y = y (d) ( + )'(' + ') = ('')( ) = ('')() = '(') = (e) yz' + 'yz + yz + 'yz' = y(z + z') + 'y(z + z') = y + 'y = y (f) ( + y + z')(' + y' + z) = ' + y' + z + 'y + yy' + yz + 'z' + y'z' + zz' = = y' + z + 'y + yz + 'z' + y'z' = y + ( z)' + (y z)' 2.3 (a) + ' + ' = + ' = igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

9 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (b) 'yz + z = ('y + )z = z( + ')( + y) = z( + y) (c) ( + y)'(' + y') = 'y'(' + y') = 'y' (d) y + (wz + wz') = (y +wz + wz') = (w + y) (e) (' + ')(' + ') = '' + '' + '' + '' = (f) ( + y' + z')(' + z') =' + z' + 'y' + y'z' + 'z' + z'z' = z' + y'(' + z') = z' + 'y' 2.4 (a) '' + + ' = ' + = ( + ')(' + ) = + ' (b) ('y' + z)' + z + y + wz = ('y')'z' + z + y + wz =[ ( + y)z' + z] + y + wz = = (z + z')(z + + y) + y + wz = z + wz + + y + y = z( + w) + ( + y) + y = + y + z (c) '(' + ') + ( + ') = ('' + '' + + ') = ('' + + '( + ') = ( + '(' + )) = ( + ') = (d) (' + )(' + ')( + + ') = (' + ')( + + ') = '( + + ') = ' + ' + '' = '( + ') (e) + ' + ' = + ' = 2.5 (a) y F simplified F (b) y F simplified F (c) igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

10 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ y z F simplified F (d) F simplified F (e) y z F simplified F (f) igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

11 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ y z F F simplified 2.6 (a) F F simplified (b) y z F F simplified (c) y F F simplified igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

12 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (d) w y z F F simplified (e) F simplified = F (f) w y z F F simplified 2.7 (a) F F simplified igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

13 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (b) w y z F F simplified (c) F F simplified (d) F F simplified igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

14 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (e) F F simplified 2.8 F' = (w + yz)' = (w)'(yz)' = (w' + ')(y' + z') FF' = w(w' + ')(y' + z') + yz(w' + ')(y' + z') = F + F' = w + yz + (w + yz)' = + ' = with = w + yz 2.9 (a) F' = (y' + 'y)' = (y')'('y)' = (' + y)( + y') = y + 'y' (b) F' = [(' + )E' + E]' = [(' + ) + E]' = (' + )'E' = (')'()'E' F' = ( + ')(' + ')E' = 'E' + 'E' + ''E' + ''E' (c) F' = [(' + y + z')( + y')( + z)]' = (' + y + z')' + ( + y')' + ( + z)' = F' = y'z + 'y + 'z' 2. (a) F + F 2 = m i + i = (m i + i ) (b) F F2 = m i m j where m i m j = if i j and m i m j = if i = j 2. (a) F(, y, z) = (, 4, 5, 6, 7) (b) F(, y, z) = (, 2, 3, 7) F = y + y' + y'z y z F F = 'z' + yz y z F 2.2 = _ = _ (a) N = _ (b) OR = _ (c) XOR = _ igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

15 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (d) NOT = _ (e) NOT = _ 2.3 (a) Y = + + '(a + ') (b) Y = ( or ) + ' (c) Y = + + (d) Y = ( or )' + (e) Y = ('+ ') + ') (f) Y = (+ ')' + ) igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

16 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (a) y z F =y + 'y' + y'z (b) y z F = y + 'y' + y'z = (' + y')' + ( + y)' + (y + z')' (c) y z F = y + 'y' + y'z = [(y)' ('y')' (y'z)']' (d) y z F = y + 'y' + y'z = [(y)' ('y')' (y'z)']' igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

17 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (e) y z F = y + 'y' + y'z = (' + y')' + ( + y)' + (y + z')' 2.5 (a) T = ''' + '' + '' = ''(' + ) +''(' + ) = '' +'' = '(' + ') (b) T 2 =T ' = ' + '' + ' + ' + = (' + ) + '(' + ) + (' + ) = + ' + = + (' + ) = + (3,5,6,7) (,,2,4) T = ''' + '' + '' T 2 = ' + '' + ' + ' + '' '' T = '' '' = '(' + ') ' T 2 =' + + = (a) F(,, ) = ''' + '' + '' + ' + '' + ' + ' + = '('' + ' + ' + ) + (('' + ' + ' + ) = (' + )('' + ' + ' + ) = '' + ' + ' + = '(' + ) + (' + ) = ' + = (b) F(, 2, 3,..., n ) = m i has 2 n /2 minterms with and 2 n /2 minterms with ', which can be factored and removed as in (a). The remaining 2 n- product terms will have 2 n- /2 minterms with 2 and 2 n- /2 minterms with ' 2, which and be factored to remove 2 and ' 2. continue this process until the last term is left and n + ' n =. lternatively, by induction, F can be written as F = n G + ' n G with G =. So F = ( n + ' n )G =. 2.7 (a) (y + z)(y + z) = y + yz + yz + z = (3, 5, 6, 7) = (,, 2, 4) (b) (' + )(' + ) = '' + ' + = (,, 3, 7) = (2, 4, 5, 6) (c) y'z + wy' + wz' + w''z = (, 3, 5, 9, 2, 3, 4) = (, 2, 4, 6, 7, 8,,, 5) (d) (y + yz' + 'z)( + z) = y + yz' + yz + 'z = (, 3, 9,, 4, 5) = (, 2, 4, 5, 6, 7, 8,, 2, 3) igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

18 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (a) w y z F F = y'z + 'y'z + w'y + w'y + wy F = (, 5, 6, 7, 9,, 3, 4, 5 ) (b) y' z ' y' z w' y w ' y w y 5 - Three-input N gates 2 - Three-input OR gates lternative: - Five-input OR gate 4 - Inverters F (c) F = y'z + 'y'z + w'y + w'y + wy = y'z + y + wy = y z + y(w + ) (d) F = y'z + yw + y) = (, 5, 9, 3,,, 3, 5, 6, 7, 4, 5) = (, 5, 6, 7, 9,,, 3, 4, 5) (e) y' z w y F Inverter, 2 Two-input N gates, 2 Two-input OR gates igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

19 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ F = ' + ' + -'- = = 3 = 9 = '-- = = 3 = 5 = 7 -- = 5 = 7 = 3 = 5 F = (, 3, 5, 7, 9,,3, 5) = (, 2, 4, 6, 8,, 2, 4) 2.2 (a) F(,,, ) = (3, 5, 9,, 5) F'(,,, ) = (,, 2, 4, 6, 7, 8,, 2, 3, 4) (b) F(, y, z) = (2, 4, 5, 7) F' = (2, 4, 5, 7) 2.2 (a) F(, y, z) = (2, 5, 6) = (,, 3, 4, 7) (b) F(,,, ) = (,, 2, 4, 7, 9, 2) = (3, 5, 6, 8,,, 3, 4, 5) 2.22 (a) ( + )( + ') = + + ' + ' = ( + ') + = + (SOP form) = ( + ) (POS form) (b) ' + ( + y')(y + z') = (' + )[' + ( + y')(y + z')] = = (' + + y')(' + y + z') = + y + z 2.23 (a) ' + + F igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

20 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (b) ( + )( + )(' + + ) F (c) ( + '')(' + ') F (d) + + ( + ')(' + ) F 2.24 y = 'y + y' and ( y)' = ( + y')(' + y) ual of 'y + y' = (' + y)( + y') = ( y)' 2.25 (a) y = y' y = 'y Not commutative ( y) z = y'z' (y z) = (yz')' = y' + z Not associative igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

21 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (b) ( y) = y' + 'y = y = y' + y' ommutative ( y) z = (, 2, 4, 7) = (y z) ssociative 2.26 Gate NN (Positive logic) NOR (Negative logic) y z y z y z L L L H H L H H H H H L Gate NOR (Positive logic) NN (Negative logic) y z y z y z L L L H H L H H H L L L 2.27 f = a'b'c + a'bc + abc' + abc f 2 = a'bc' + a'bc + ab'c' + ab'c + abc' a' b' c' a' b c a b c' a b c a' b c' a b' c' a b' c f f 2 igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

22 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (a) y = a(bcd)'e = a(b' + c' + d')e y = a(b' + c' + d')e = ab e + ac e + ad e = ( 7, 9, 2, 23, 25, 27, 29) a bcde y a bcde y (b) y = a (c + d + e)= a'(c + d +e) + a(c'd'e') = a'c + a'd + a'e + ac'd'e' y 2 = b'(c + d + e)f = b'cf + b'df + b'ef y = a (c + d + e) = a'(c + d +e) + a(c'd'e') = a'c + a'd + a'e + ac'd'e' y 2 = b'(c + d + e)f = b'cf + b'df + b'ef a'-c--- = 8 = 9 = = a'--d-- = 8 = 9 = = a'---e- = 2 = 3 = 6 = 7 a-c'd'e'- = 32 = 33 = 34 = 35 = 2 = 3 = 4 = 5 = 2 = 3 = 4 = 5 = = = 4 = 5 -b' c--f -b' -d-f -b' --ef = 24 = 25 = 26 = 27 = 28 = 29 = 3 = 3 = 2 = 2 = 22 = 23 = 28 = 29 = 3 = 3 = 8 = 9 = 22 = 23 = 26 = 27 = 3 = 3 = 9 = = 3 = 5 = 4 = 43 = 45 = 47 = 9 = = 3 = 5 = 4 = 43 = 45 = 47 = 3 = 7 = = 5 = 35 = 39 = 5 = 55 igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

23 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ y = (2, 3, 6, 7, 8, 9,,, 2, 3, 4, 5, 8, 9, 22, 23, 24, 25, 26, 27, 28, 29, 3, 3, 32, 33, 34, 35 ) y 2 = (3, 7, 9, 3, 5, 35, 39, 4, 43, 45, 47, 5, 55) ab cdef y y 2 ab cdef y y 2 ab cdef y y 2 ab cdef y y 2 igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

24 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ hapter 3 3. yz m m y yz m m y (a) z F = y + z' (b) z F = z' + 'y yz m m y yz m m y z z (c) F = ' + yz (d) F = y + z + yz 3.2 yz y yz y m m m m z z (a) F = 'y' + z (b) F = y + 'z yz m m y yz m m y z (c) F = 'y' + y (d) F = y' + 'z z igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

25 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ yz m m y yz m m y z (e) F = z (f) F = + y' z z 3.3 yz y yz y m m m m (a) z F =y + 'y'z' + 'yz' F = y + ' z' (b) z F = 'y' + yz + 'yz' F = ' + yz yz m m y yz m m y (c) z F = 'y + yz' + y'z' F = = ' y + z' (d) z F = yz + 'y'z + yz' F = 'y'z + y igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

26 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ m m yz m m y m 8 m 9 m m z (a) F = y (b) F = + ' ' m m w yz m m y m 8 m 9 m m w m 8 m 9 m m z (c) F = + + (d) F = w''y +w w yz y w yz y m m m m w m 8 m 9 m m w m 8 m 9 m m (e) z F = w' + w'y'z (f) z F = 'y' + w'y'z igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

27 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ w yz y m m m m w m 8 m 9 m m m 8 m 9 m m z (a) F =z' + w'y'z+ wy (b) F = ' + ' ' + '' w yz y m m m m w m 8 m 9 m m m 8 m 9 m m z (c) F =w'y' + w' y' + w'y (d) F = + ' + ' ' or = + '' + '' 3.6 m m m 3 w yz m m y m 8 m 9 m m w m 8 m 9 m m z (a) F = ' ' +' + ' (b) F = y' +'z + w'y igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

28 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ m m m 3 m m m 3 m 8 m 9 m m m 8 m 9 m m (c) F = '' + + ' + ' (d) F = ''' + ' + ' + ' 3.7 w yz y m m m m w m 8 m 9 m m m 8 m 9 m m z (a) F = z + 'y (b) F = ' + ' + ' m m m 3 w yz m m y m 8 m 9 m m w m 8 m 9 m m z (c) F = '' + + ' + (or ') (d) F = w + 'y + yz igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

29 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (a) F(, y, z) = (3, 5, 6, 7) yz m m y z (b) F = (, 3, 5, 9, 2, 3, 4) m m m 8 m 9 m m (c) F = (,, 2, 3,, 2, 4, 5) w y m m w m 8 m 9 m m z igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

30 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (d) F= (3, 4, 5, 7,, 2) m m m 8 m 9 m m 3.9 (a) w yz m m y (b) m m w m 8 m 9 m m m 8 m 9 m m z Essential: z, 'z' Non-essential: w', w'z' F = z + 'z' + (w' or w'z') Essential: '',, ' Non-essential:, ' F = '' + + ' + ( OR ') (c) m m (d) yz w m m y m 8 m 9 m m w m 8 m 9 m m z Essential: ',, '' F = ' + + '' Essential: wy', y, w''z F = wy' + y + w''z igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

31 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (e) m m (f) w yz y m m m 8 m 9 m m w m 8 m 9 m m z Essential:, ', ''' F = + ' + ''' Essential: wy', w, 'z', yz F = wy' + w + 'z' + yz 3. (a) w yz m m y (b) m m w m 8 m 9 m m m 8 m 9 m m Essential: z, w', 'z' F = z + w' + 'z' z Essential:, '',, ' F = + '' + + ' igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

32 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (c) m m (d) w yz m m y m 8 m 9 m m w m 8 m 9 m m z Essential: ', Non-essential:,,, F = + + Essential: wy', y Non-essential: w, 'y'z, w'wz, w''z F = wy' + y + w''z (e) m m (f) w yz y m m m 8 m 9 m m w m 8 m 9 m m Essential:, ', ' Non-essential: F = + ' + ' Essential: wy', w, yz, 'yz' F = wy' + w + yz + =yz' z igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

33 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (a) F(,,,, E) = (,, 4, 5, 6, 7, 2, 25, 29 F = + E + m : ''''E' = m : ''''E = : '''E' = : '''E = : '''E' = : '''E = : ''E = 5 : ''E = 9 : 'E = '' = E E ''' = 'E E E igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

34 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (b) F(,,,, E) = ''E' + '''E' + ''' + '' + ' + ' F(,,,, E) = ''' + ''E' + '' + ' + ' ''E': 'E' + '''E' '''E': '''E' + ''''E' ''': '''E + '''E' + ''''E + ''''E' '': ''E + ''E' + '''E + '''E' ': 'E + 'E' + ''E + ''E' ': 'E + 'E' + ''E + ''E' ''' = ''E' '' E ' ' E = E E igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

35 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (a) w yz y w yz y m m m m w m 8 m 9 m m w m 8 m 9 m m (b) z F = (,, 2, 5, 8,, 3) F = 'z' + w''y' + w'y'z m m z F' = yz + z' + y + w'z F = (y' + z')(' + z)(' + y')(w' + + z') F = (, 3, 5, 7, 3, 5) F' = ' + ' F = ( + )( + ) F = '' + ' + ' m 8 m 9 m m (c) m m m 8 m 9 m m F = (, 3, 6, 9,, 2, 4) F' = ' + ' + ' F = ( + ')(' + ' + )(' + ' + ) F = + '' + ''' igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

36 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (a) F = y + z = ( + z )(y + z ) (b) m m m m m 8 m 9 m m m 8 m 9 m m F = ' + + ' + ' (c) m m F' '' + ' + ' F = ( + )( + ')(' + ' + ) m m m 8 m 9 m m m 8 m 9 m m F = ( + ' + ')(' + ' + ')(' + + ')(' + + ') F' = ' + + ' + ' F = ' + '' + ' + '' F' = + +' F = (' + ')( + ')(' + + ') igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

37 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (d) m m m m m 8 m 9 m m m 8 m 9 m m F =' + ' + F' = '' + '' + ' + ''' F = + ' + F = ( + )( + )(' + )( + + ) 3.4 m m m m m 8 m 9 m m m 8 m 9 m m SOP form (using s): POS form (using s): lternative POS: F = ''' + '' + ' + ' F = ''( + ') + (' + ') F' = ' + ' + '' + F = [(' + )( + ')][( + ' + )(' + ' + ')] F' = ' + ' + '' + '' F = [(' + )( + ')][( + ' + )(' + + )] igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

38 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (a) (b) m m yz m m y m 8 m 9 m m z F = F = '' + ' F = (,, 2, 3, 4, 5, 6, 7) F = (, 2, 6, 8,, 3, 4) (c) m m (d) m m m 8 m 9 m m m 8 m 9 m m F = ' + + F = '' + '' + F = (4, 5, 7, 2, 3, 4, 5) F = F = (,, 2, 3, 8,, 5) 3.6 (a) m m F = + '' F = ('('')')' m 8 m 9 m m ' ' ' F igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

39 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (b) m m F = + + ''' F = (()'()'(''' )')' m 8 m 9 m m ' ' ' ' F (c) m m m 8 m 9 m m F' = '' F = ('')' ' ' F (d) m m F = + F = (()' ()' )' F 3.7 igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

40 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ m m m m m 8 m 9 m m m 8 m 9 m m F = '' + '' + '' F' = + + F = ()'()'()' F' 3.8 F= ( )'( ) = (' + ')(' + ') = '' + '' + '' + '' m m m 8 m 9 m m ' ' ' ' F F = '' + '' + '' + '' and F' = '' + + '' + F = ('')'()'('')'()' = ( + )(' + ') (' + ')( + ) F' = [( + )(' + ')]' + [('+ ')( + )]' F = ([( + )(' + ')]' + [('+ ')( + )]')' F = ([( + )' + (' + ')'] + [('+ ')' + ( + )'])' igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

41 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ m m m 3.9 (a) F = (w + z )( + z )(w + + y ) yz y w 3 y z w m 8 m 9 m m w w z F z F = y'z' + w' + w'z' F =[(y + z)' + (w' + )' + (w + z)'] F' =[(y + z)' + (w' + )' + (w + z)']' (b) yz w m m y w' w m 8 m 9 m m w ' y' z' y z F z F = (, 2, 3, 4) F' = w' + w' + y'z' + yz = [(w +')(w' + )(y + z)(y' + z')]' F = (w +')' + (w' + )' + (y + z)' + (y' + z') (c) F = [( + y)(' + z)]' = ( + y)' + (' + z)' F' = [( + y)' + (' + z)']' y ' z F' 3.2 Multi-level NOR: F = (' + ')E + ( + ) F' = [(' + ')E + ( + )]' F' = [ [(' + ')' + E']' + [ ()' + ( + )']' ]' F' = [ [((' + )' + (' + )')' + E']' + [ (' + ')' + ( + )']' ]' igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

42 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ ' ' E' F ' ' Multi-level NN: F = (' + ')E + ( + ) F' = [ (' + ')E]' [( + )]' F' = [ ( (')'( ')' )'E]' [('')']' ' ' E F ' ' 3.2 F = w( + y + z) + yz F' = [w( + y + z)]'[yz]' = [w('y'z')')]'(yz)' y z ' y' z' w F igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

43 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ z y w 3.23 m m m 8 m 9 m m ' ' ' F 3.24 F = ' + '' + '' F' = + F = [ + ]' = [ + (' + ' + ']')]' m m m 8 m 9 m m (a) F = '' + ' + ' igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

44 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ F' = ('')'(')'(')' N-NN: ' ' ' ' F (b) F' = ['' + ' + ']' N-NOR: ' ' ' F ' (c) F = '' + ' + ' = ( + )' + (' + )' + (' + )' F' = ('')'(')'(')' = ( + )(' + )(' + ) F = [ ( + )(' + )(' + ) ]' OR-NN: ' ' (d) F = '' + ' + ' = ( + )' + (' + )' + (' + )' NOR-OR: ' ' F F N-N N OR-OR OR igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

45 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ ( )' ( )' N-NN NN OR-NOR NOR ('''')' [()' + (' ')]' NOR-NN OR NN-NOR N '' '' '''' ( )' ' + ' + ' + ' ( )' NOR-N NOR NN-OR NN The degenerate forms use 2-input gates to implement the functionality of 4-input gates ab cd f = abc' + c'd + a'cd'+ b'cd' c g = (a + b +c' + d')(b' + c' + d)(a'+ c + d') g' = a'b'cd + bcd' + ac'd c ab cd m m m m a m 8 m 9 m m b a m 8 m 9 m m b d fg = ac'd + abc'd + b'cd' d 3.27 y = 'y + y'; ual = (' + y)( + y') = ( y)' 3.28 y P y z z P (a) 3-bit odd parity generator (b) 4-bit odd parity generator igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

46 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ = E = ' + ' = ( ) F = ' + (' + ') = ' + ()' = () G = Half-dder S Half-dder S = E = ( ) F = () Half-dder S G = 3.3 F = '' + '' + '' + '' F = ( )' + ( ) ' = ( )( ) F 3.3 Note: It is assumed that a complemented input is generated by another circuit that is not part of the circuit that is to be described. (a) (b) module Fig_3_22a_gates (F,,,, _bar, ); output F; input,,, _bar, ; wire w, w2, w3, w4; and (w,, ); or (w2, w, ); and (w3, w2, ); and (w4,, _bar); or (F, w3, w4); module Fig_3_22b_gates (F,,,, _bar, ); output F; input,,, _bar, ; wire w, w2, w3, w4; not (w_bar, w); not (_bar, ); not (w3_bar, w3); not (w4_bar, w4); nand (w,, ); or (w2, w_bar, _bar); nand (w3, w2, ); nand (w4,, _bar); or (F, w3_bar, w4_bar); igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

47 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (c) (d) (e) (f) module Fig_3_23a_gates (F,, _bar,, _bar,, _bar); output F; input, _bar,, _bar,, _bar; wire w, w2, w3, w4; and (w,, _bar); and (w2, _bar, ); or (w3, w, w2); or (w4,, _bar); or (F, w3, w4); module Fig_3_23b_gates (F,, _bar,, _bar, _bar, ); output F; input, _bar,, _bar, _bar, ; wire w, w2, w3, w4; nand (w,, _bar); nand (w2, _bar, ); not (w_bar, w); not (w2_bar, w2); or (w3, w_bar, w2_bar); or (w4,, _bar); not (w5, _bar); not (w6, ); nand (F_bar, w5, w6); not (F, F_bar); module Fig_3_26_gates (F,,,,, E_bar); output F; input,,,, E_bar; wire w, w2, w_bar, w2_bar, w3_bar; not (w_bar, w); not (w2_bar, w2); not (w3_bar, E_bar); nor (w,, ); nor (w2,, ); nand (F, w_bar, w2_bar, w3_bar); module Fig_3_27_gates (F,, _bar,, _bar,, _bar); output F; input, _bar,, _bar,, _bar wire w, w2, w3, w4, w5, w6, w7, w8, w7_bar, w8_bar; not (w, _bar); not (w2, _bar); not (w3, ); not (w4, _bar); not (w7_bar, w7); not (w8_bar, w8); and (w5 w, w2); and (w6, w3, w4); nor (w7, w5, w6); nor (w8,, _bar); and (F, w7_bar, w8_bar); igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

48 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ Note: It is assumed that a complemented input is generated by another circuit that is not part of the circuit that is to be described. (a) (b) (c) (d) module Fig_3_22a_ (F,,,, _bar, ); output F; input,,, _bar, ; wire w, w2, w3, w4; assign w = & ; assign w2 = w ; assign w3 = w2 & ); assign w4 = & _bar); assign F = w3 w4); module Fig_3_22b_ (F,,,, _bar, ); output F; input,,, _bar, ; wire w, w2, w3, w4; assign w_bar = ~w; assign _bar = ~; assign w3_bar = ~w3; assign w4_bar = ~w4; assign w = ~( & ); assign w2 = w_bar _bar; assign w3 = ~(w2 & ); assign w4 = ~( & _bar); assign F = w3_bar w4_bar; module Fig_3_23a_ (F,, _bar,, _bar,, _bar); output F; input, _bar,, _bar,, _bar; wire w, w2, w3, w4; assign w = & _bar; assign w2 = _bar & ; assign w3 = w w2); assign w4 = _bar; assign F = w3 w4; module Fig_3_23b_ (F,, _bar,, _bar, _bar, ); output F; input, _bar,, _bar, _bar, ; wire w, w2, w3, w4; assign w = ~( & _bar); assign w2 = ~(_bar & ); assign w_bar = ~w; assign w2_bar = ~w2; assign w3 = w_bar w2_bar; assign w4, _bar; assign w5 = ~_bar; assign w6 = ~; assign F_bar = ~(w5 & w6); assign F = ~F_bar; igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

49 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (a) (e) (f) module Fig_3_26_ (F,,,,, E_bar); output F; input,,,, E_bar; wire w, w2, w_bar, w2_bar, w3_bar; not w_bar = ~w; not w2_bar = ~w2; not w3_bar = ~E_bar; nor w = ( ; nor w2 = ( ; nand F = ~( w_bar & w2_bar & w3_bar); module Fig_3_27_ (F,, _bar,, _bar,, _bar); output F; input, _bar,, _bar,, _bar wire w, w2, w3, w4, w5, w6, w7, w8, w7_bar, w8_bar; not w = ~_bar; not w2 = ~_bar; not w3 = ~; not w4 = ~_bar; not w7_bar = ~w7; not w8_bar = ~w8; assign w5 = w & w2; assign w6 = w3 & w4; assign w7 = ~(w5 w6); assign w8 = ~( _bar); assign F = w7_bar & w8_bar; w3 y w w w4 F = y Initially, with y =, w = w2 =, w3 = w4 = and F =. w should change to 4ns after y changes to. w4 should change to 8 ns after y changes to. F should change from to ns after w4 changes from to, i.e., 8 ns after y changes from to. (b) `timescale ns/ps module Prob_3_33 (output F, input, y); wire w, w2, w3, w4; and #8 (w3,, w); not #4 (w, ); and #8 (w4, y, w); not #4 (w2, y); or # (F, w3, w4); module t_prob_3_33 (); reg, y; wire F; igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

50 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ Prob_3_33 M (F,, y); initial #2 $finish; initial fork = ; y = ; #2 y = ; join (c) To simulate the circuit, it is assumed that the inputs y = have been applied sufficiently long for the circuit to be stable before y = is applied. The testbench sets y = at t = ns, and y = at t = ns. The simulator assumes that y = has been applied long enough for the circuit to be in a stable state at t = ns, and shows F = as the value of the output at t =. The waveforms show the response to y = applied at t = ns. Name.ns 39.29ns 78.58ns 7.87ns w y w2 w3 w4 F t = 28 ns t = 8 ns t = 4 ns t = ns = 8 ns Note: input change occurs at t = ns module Prob_3_34 (Out_, Out_2, Out_3,,,, ); output Out_, Out_2, Out_3; input,,, ; wire _bar, _bar, _bar, _bar; assign _bar = ~; assign _ar = ~; assign _bar = ~; assign _bar = ~; assign Out_ = ~( ( ) & (_bar ) & ); assign Out_2 = (( * _bar) ( & & ) (_bar & ) ) & ( _bar); assign Out_3 = & ( ( & ) ) ( & _bar); 3.35 module Empl-3(,,,, F) // Line inputs,,, Output, F, // Line 2 output // Line 3 and g(,, ); // Line 4 not (,, ), // Line 5 OR (F, ; ); // Line 6 igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

51 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ ofmodule; // Line 7 Line : ash not allowed, use underscore: Empl_3. Terminate line with semicolon (;). Line 2: inputs should be input (no s at the ). hange last comma (,) to semicolon (;). Output is declared but does not appear in the port list, and should be followed by a comma if it is inted to be in the list of inputs. If Output is a mispelling of output and is to declare output ports, should be followed by a semicolon (;) and F should be followed by a semicolon (;). Line 3: cannot be declared as input (Line 2) and output (Line 3). Terminate the line with a semicolon (;). Line 4: cannot be an output of the primitive if it is an input to the module Line 5: Too many entries for the not gate (only two allowed). Line 6: OR must be in lowercase: change to or. Line 7: is mispelled. Remove semicolon (no semicolon after ) (a) a d y z w F (b) w w6 w2 _lt_ w7 w3 _gt_ w4 _eq_ w5 (c) igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

52 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ a b y y UP_Majority_4 (y, a, b, c, d); outputy; input a, b, c, d; table // a b c d : y : ; : ; : ; : ; : ; : ; : ; : ; : ; : ; : ; : ; : ; : ; : ; : ; table primitive 3.38 module t_ircuit_with_up_2467; wire E, F; reg,,, ; ircuit_with_up_2467 m (E, F,,,, ); initial # $finish; initial fork = ; = ; = ; = ; #4 = ; #2 = ; #4 = ; #6 = ; # = ; #2 = ; #3 = ; #4 = ; #5 = ; #6 = ; #7 = ; #2 = ; join // Verilog model: User-defined Primitive primitive UP_2467 (,,, ); output ; input,, ; // Truth table for = f (,, ) = (, 2, 4, 6, 7); igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

53 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ table // : // olumn header comment : ; : ; : ; : ; : ; : ; : ; : ; table primitive // Verilog model: ircuit instantiation of ircuit_up_2467 module ircuit_with_up_2467 (e, f, a, b, c, d); output e, f; input a, b, c, d; UP_2467 M (e, a, b, c); and (f, e, d); //Option gate instance name omitted Name E F igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

54 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ HPTER 4 4. (a) T = ', T 2 = ', T 3 = + T = + ', T 4 = T 2 = (') = '' + ( + ') = '' + + ' F = T 3 + T 4 = + ' + '' + + ' With + = and + '' = + ': F = + ' + ' + ' lternative cover: F = + ' + ' + ' F 2 = T 2 + = ' + T T 2 T 3 T 4 F F 2 m m m 8 m 9 m m F = + '+ ' + ' m m m m m 8 m 9 m m m 8 m 9 m m F 2 = ' + F = + ' + ' + ' igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

55 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ ' [(')' ']'= + F (')' = + + ' G m m m m m F = ( + )(' + ) = ' + + += ' + F = ( + ')(' +) = '' + + ' = '' + 3 m 8 m 9 m m m 8 m 9 m m F = ' + + = ' + G = '' + + ' = '' (a) Y i = ( i S' + i S)E' for i =,, 2, 3 (b) 24 rows and 4 columns 4.4 (a) yz F yz y m m z F = 'y' + 'z' ' y' ' y' F igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

56 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ (b) 4.5 yz yz F yz yz m m z F = z m m y z = 'y + yz y ' y y z z F yz y m m y' y' z = 'y' + y'z + yz' z y yz y z' m m z z = 'z + z' 4.6 yz F yz m m y z F = z + yz + y z y z y F igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

57 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ module Prob_4_6 (output F, input, y, z); assign F = ( & z) (y & z) ( & y); 4.7 (a) wyz m m m 8 m 9 m m w = m m m 8 m 9 m m = ' + ' = m m m m m 8 m 9 m m m 8 m 9 m m y = '' '' + + '' = '( ) + ( )' = = X z = = y w y z (b) module Prob_4_7(output w,, y, z, input,,, ); (,,, ) case ({,,, }) 4'b: {w,, y, z} = 4'b; igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

58 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ 'b: 4'b: 4'b: 4'b: 4'b: 4'b: 4'b: 4'b: 4'b: 4'b: 4'b: 4'b: 4'b: 4'b: 4'b: case {w,, y, z} = 4'b; {w,, y, z} = 4'b; {w,, y, z} = 4'b; {w,, y, z} = 4'b; {w,, y, z} = 4'b; {w,, y, z} = 4'b; {w,, y, z} = 4'b; {w,, y, z} = 4'b; {w,, y, z} = 4'b; {w,, y, z} = 4'b; {w,, y, z} = 4'b; {w,, y, z} = 4'b; {w,, y, z} = 4'b; {w,, y, z} = 4'b; {w,, y, z} = 4'b; lternative model: module Prob_4_7(output w,, y, z, input,,, ); assign w = ; assign = ^ ); assign y = ^ ; assign z = y ^ ; 4.8 wyz m m m 8 m 9 m m m m m 8 m 9 m m m m m 8 m 9 m m w = +'' = ' + ' +'' y = ' +' z = igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

59 27 Pearson Education, Inc., Upper Saddle River, NJ. ll rights reserved. This publication is protected by opyright and written permission should be obtained or likewise. For information regarding permission(s), write to: Rights and Permissions epartment, Pearson Education, Inc., Upper Saddle River, NJ lternative model: module Prob_4_8(output w,, y, z, input,,, ); assign w = (&) ( & (~)) & (~) ; assign = ( (~) & ) ((~) & ) ( & (~)) & (~); assign y = ^ ; assign z = ; 4.9 a b c d e f g m m m 8 m 9 m m m m m 8 m 9 m m a = ' + ' + ''' + '' b = '' + ''' + ' + '' m m m m m 8 m 9 m m m 8 m 9 m m c = ' + ' + ''' + '' d = '' + '' + ''' + '' + '' m m m 3 m m m m m 3 m 8 m 9 m m m 8 m 9 m m m 8 m 9 m m e = '' + ''' f = '' + ''' + ' + '' g = '' + '' + '' + '' igital esign Solution Manual. M. Mano. M.. iletti, opyright 27, ll rights reserved.

United States Naval Academy Electrical and Computer Engineering Department. EC262 Exam 1

United States Naval Academy Electrical and Computer Engineering Department. EC262 Exam 1 United States Naval Academy Electrical and Computer Engineering Department EC262 Exam 29 September 2. Do a page check now. You should have pages (cover & questions). 2. Read all problems in their entirety.

More information

Karnaugh Maps & Combinational Logic Design. ECE 152A Winter 2012

Karnaugh Maps & Combinational Logic Design. ECE 152A Winter 2012 Karnaugh Maps & Combinational Logic Design ECE 52A Winter 22 Reading Assignment Brown and Vranesic 4 Optimized Implementation of Logic Functions 4. Karnaugh Map 4.2 Strategy for Minimization 4.2. Terminology

More information

The string of digits 101101 in the binary number system represents the quantity

The string of digits 101101 in the binary number system represents the quantity Data Representation Section 3.1 Data Types Registers contain either data or control information Control information is a bit or group of bits used to specify the sequence of command signals needed for

More information

Boolean Algebra Part 1

Boolean Algebra Part 1 Boolean Algebra Part 1 Page 1 Boolean Algebra Objectives Understand Basic Boolean Algebra Relate Boolean Algebra to Logic Networks Prove Laws using Truth Tables Understand and Use First Basic Theorems

More information

EE 261 Introduction to Logic Circuits. Module #2 Number Systems

EE 261 Introduction to Logic Circuits. Module #2 Number Systems EE 261 Introduction to Logic Circuits Module #2 Number Systems Topics A. Number System Formation B. Base Conversions C. Binary Arithmetic D. Signed Numbers E. Signed Arithmetic F. Binary Codes Textbook

More information

Boolean Algebra (cont d) UNIT 3 BOOLEAN ALGEBRA (CONT D) Guidelines for Multiplying Out and Factoring. Objectives. Iris Hui-Ru Jiang Spring 2010

Boolean Algebra (cont d) UNIT 3 BOOLEAN ALGEBRA (CONT D) Guidelines for Multiplying Out and Factoring. Objectives. Iris Hui-Ru Jiang Spring 2010 Boolean Algebra (cont d) 2 Contents Multiplying out and factoring expressions Exclusive-OR and Exclusive-NOR operations The consensus theorem Summary of algebraic simplification Proving validity of an

More information

Combinational circuits

Combinational circuits Combinational circuits Combinational circuits are stateless The outputs are functions only of the inputs Inputs Combinational circuit Outputs 3 Thursday, September 2, 3 Enabler Circuit (High-level view)

More information

Unit 3 Boolean Algebra (Continued)

Unit 3 Boolean Algebra (Continued) Unit 3 Boolean Algebra (Continued) 1. Exclusive-OR Operation 2. Consensus Theorem Department of Communication Engineering, NCTU 1 3.1 Multiplying Out and Factoring Expressions Department of Communication

More information

BOOLEAN ALGEBRA & LOGIC GATES

BOOLEAN ALGEBRA & LOGIC GATES BOOLEAN ALGEBRA & LOGIC GATES Logic gates are electronic circuits that can be used to implement the most elementary logic expressions, also known as Boolean expressions. The logic gate is the most basic

More information

Logic Reference Guide

Logic Reference Guide Logic eference Guide Advanced Micro evices INTOUCTION Throughout this data book and design guide we have assumed that you have a good working knowledge of logic. Unfortunately, there always comes a time

More information

CH3 Boolean Algebra (cont d)

CH3 Boolean Algebra (cont d) CH3 Boolean Algebra (cont d) Lecturer: 吳 安 宇 Date:2005/10/7 ACCESS IC LAB v Today, you ll know: Introduction 1. Guidelines for multiplying out/factoring expressions 2. Exclusive-OR and Equivalence operations

More information

Oct: 50 8 = 6 (r = 2) 6 8 = 0 (r = 6) Writing the remainders in reverse order we get: (50) 10 = (62) 8

Oct: 50 8 = 6 (r = 2) 6 8 = 0 (r = 6) Writing the remainders in reverse order we get: (50) 10 = (62) 8 ECE Department Summer LECTURE #5: Number Systems EEL : Digital Logic and Computer Systems Based on lecture notes by Dr. Eric M. Schwartz Decimal Number System: -Our standard number system is base, also

More information

A single register, called the accumulator, stores the. operand before the operation, and stores the result. Add y # add y from memory to the acc

A single register, called the accumulator, stores the. operand before the operation, and stores the result. Add y # add y from memory to the acc Other architectures Example. Accumulator-based machines A single register, called the accumulator, stores the operand before the operation, and stores the result after the operation. Load x # into acc

More information

plc numbers - 13.1 Encoded values; BCD and ASCII Error detection; parity, gray code and checksums

plc numbers - 13.1 Encoded values; BCD and ASCII Error detection; parity, gray code and checksums plc numbers - 3. Topics: Number bases; binary, octal, decimal, hexadecimal Binary calculations; s compliments, addition, subtraction and Boolean operations Encoded values; BCD and ASCII Error detection;

More information

Lab 1: Full Adder 0.0

Lab 1: Full Adder 0.0 Lab 1: Full Adder 0.0 Introduction In this lab you will design a simple digital circuit called a full adder. You will then use logic gates to draw a schematic for the circuit. Finally, you will verify

More information

FORDHAM UNIVERSITY CISC 3593. Dept. of Computer and Info. Science Spring, 2011. Lab 2. The Full-Adder

FORDHAM UNIVERSITY CISC 3593. Dept. of Computer and Info. Science Spring, 2011. Lab 2. The Full-Adder FORDHAM UNIVERSITY CISC 3593 Fordham College Lincoln Center Computer Organization Dept. of Computer and Info. Science Spring, 2011 Lab 2 The Full-Adder 1 Introduction In this lab, the student will construct

More information

LSN 2 Number Systems. ECT 224 Digital Computer Fundamentals. Department of Engineering Technology

LSN 2 Number Systems. ECT 224 Digital Computer Fundamentals. Department of Engineering Technology LSN 2 Number Systems Department of Engineering Technology LSN 2 Decimal Number System Decimal number system has 10 digits (0-9) Base 10 weighting system... 10 5 10 4 10 3 10 2 10 1 10 0. 10-1 10-2 10-3

More information

Counters and Decoders

Counters and Decoders Physics 3330 Experiment #10 Fall 1999 Purpose Counters and Decoders In this experiment, you will design and construct a 4-bit ripple-through decade counter with a decimal read-out display. Such a counter

More information

Binary Adders: Half Adders and Full Adders

Binary Adders: Half Adders and Full Adders Binary Adders: Half Adders and Full Adders In this set of slides, we present the two basic types of adders: 1. Half adders, and 2. Full adders. Each type of adder functions to add two binary bits. In order

More information

CSE140: Midterm 1 Solution and Rubric

CSE140: Midterm 1 Solution and Rubric CSE140: Midterm 1 Solution and Rubric April 23, 2014 1 Short Answers 1.1 True or (6pts) 1. A maxterm must include all input variables (1pt) True 2. A canonical product of sums is a product of minterms

More information

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1.

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. File: chap04, Chapter 04 1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. 2. True or False? A gate is a device that accepts a single input signal and produces one

More information

Design and Development of Virtual Instrument (VI) Modules for an Introductory Digital Logic Course

Design and Development of Virtual Instrument (VI) Modules for an Introductory Digital Logic Course Session ENG 206-6 Design and Development of Virtual Instrument (VI) Modules for an Introductory Digital Logic Course Nikunja Swain, Ph.D., PE South Carolina State University swain@scsu.edu Raghu Korrapati,

More information

Basic Logic Gates Richard E. Haskell

Basic Logic Gates Richard E. Haskell BASIC LOGIC GATES 1 E Basic Logic Gates Richard E. Haskell All digital systems are made from a few basic digital circuits that we call logic gates. These circuits perform the basic logic functions that

More information

MULTIPLE CHOICE. Choose the one alternative that best completes the statement or answers the question.

MULTIPLE CHOICE. Choose the one alternative that best completes the statement or answers the question. CHAPTER3 QUESTIONS MULTIPLE CHOICE. Choose the one alternative that best completes the statement or answers the question. ) If one input of an AND gate is LOW while the other is a clock signal, the output

More information

Digital circuits make up all computers and computer systems. The operation of digital circuits is based on

Digital circuits make up all computers and computer systems. The operation of digital circuits is based on Digital Logic Circuits Digital circuits make up all computers and computer systems. The operation of digital circuits is based on Boolean algebra, the mathematics of binary numbers. Boolean algebra is

More information

Chapter 1: Digital Systems and Binary Numbers

Chapter 1: Digital Systems and Binary Numbers Chapter 1: Digital Systems and Binary Numbers Digital age and information age Digital computers general purposes many scientific, industrial and commercial applications Digital systems telephone switching

More information

Goals. Unary Numbers. Decimal Numbers. 3,148 is. 1000 s 100 s 10 s 1 s. Number Bases 1/12/2009. COMP370 Intro to Computer Architecture 1

Goals. Unary Numbers. Decimal Numbers. 3,148 is. 1000 s 100 s 10 s 1 s. Number Bases 1/12/2009. COMP370 Intro to Computer Architecture 1 Number Bases //9 Goals Numbers Understand binary and hexadecimal numbers Be able to convert between number bases Understand binary fractions COMP37 Introduction to Computer Architecture Unary Numbers Decimal

More information

2011, The McGraw-Hill Companies, Inc. Chapter 3

2011, The McGraw-Hill Companies, Inc. Chapter 3 Chapter 3 3.1 Decimal System The radix or base of a number system determines the total number of different symbols or digits used by that system. The decimal system has a base of 10 with the digits 0 through

More information

Chapter 2: Boolean Algebra and Logic Gates. Boolean Algebra

Chapter 2: Boolean Algebra and Logic Gates. Boolean Algebra The Universit Of Alabama in Huntsville Computer Science Chapter 2: Boolean Algebra and Logic Gates The Universit Of Alabama in Huntsville Computer Science Boolean Algebra The algebraic sstem usuall used

More information

Karnaugh Maps. Circuit-wise, this leads to a minimal two-level implementation

Karnaugh Maps. Circuit-wise, this leads to a minimal two-level implementation Karnaugh Maps Applications of Boolean logic to circuit design The basic Boolean operations are AND, OR and NOT These operations can be combined to form complex expressions, which can also be directly translated

More information

Binary Representation. Number Systems. Base 10, Base 2, Base 16. Positional Notation. Conversion of Any Base to Decimal.

Binary Representation. Number Systems. Base 10, Base 2, Base 16. Positional Notation. Conversion of Any Base to Decimal. Binary Representation The basis of all digital data is binary representation. Binary - means two 1, 0 True, False Hot, Cold On, Off We must be able to handle more than just values for real world problems

More information

CDA 3200 Digital Systems. Instructor: Dr. Janusz Zalewski Developed by: Dr. Dahai Guo Spring 2012

CDA 3200 Digital Systems. Instructor: Dr. Janusz Zalewski Developed by: Dr. Dahai Guo Spring 2012 CDA 3200 Digital Systems Instructor: Dr. Janusz Zalewski Developed by: Dr. Dahai Guo Spring 2012 Outline Multi-Level Gate Circuits NAND and NOR Gates Design of Two-Level Circuits Using NAND and NOR Gates

More information

Digital Design. Assoc. Prof. Dr. Berna Örs Yalçın

Digital Design. Assoc. Prof. Dr. Berna Örs Yalçın Digital Design Assoc. Prof. Dr. Berna Örs Yalçın Istanbul Technical University Faculty of Electrical and Electronics Engineering Office Number: 2318 E-mail: siddika.ors@itu.edu.tr Grading 1st Midterm -

More information

BINARY CODED DECIMAL: B.C.D.

BINARY CODED DECIMAL: B.C.D. BINARY CODED DECIMAL: B.C.D. ANOTHER METHOD TO REPRESENT DECIMAL NUMBERS USEFUL BECAUSE MANY DIGITAL DEVICES PROCESS + DISPLAY NUMBERS IN TENS IN BCD EACH NUMBER IS DEFINED BY A BINARY CODE OF 4 BITS.

More information

ECE232: Hardware Organization and Design. Part 3: Verilog Tutorial. http://www.ecs.umass.edu/ece/ece232/ Basic Verilog

ECE232: Hardware Organization and Design. Part 3: Verilog Tutorial. http://www.ecs.umass.edu/ece/ece232/ Basic Verilog ECE232: Hardware Organization and Design Part 3: Verilog Tutorial http://www.ecs.umass.edu/ece/ece232/ Basic Verilog module ();

More information

Karnaugh Maps (K-map) Alternate representation of a truth table

Karnaugh Maps (K-map) Alternate representation of a truth table Karnaugh Maps (K-map) lternate representation of a truth table Red decimal = minterm value Note that is the MS for this minterm numbering djacent squares have distance = 1 Valuable tool for logic minimization

More information

Gates, Circuits, and Boolean Algebra

Gates, Circuits, and Boolean Algebra Gates, Circuits, and Boolean Algebra Computers and Electricity A gate is a device that performs a basic operation on electrical signals Gates are combined into circuits to perform more complicated tasks

More information

Number and codes in digital systems

Number and codes in digital systems Number and codes in digital systems Decimal Numbers You are familiar with the decimal number system because you use them everyday. But their weighted structure is not understood. In the decimal number

More information

CDA 3200 Digital Systems. Instructor: Dr. Janusz Zalewski Developed by: Dr. Dahai Guo Spring 2012

CDA 3200 Digital Systems. Instructor: Dr. Janusz Zalewski Developed by: Dr. Dahai Guo Spring 2012 CDA 3200 Digital Systems Instructor: Dr. Janusz Zalewski Developed by: Dr. Dahai Guo Spring 2012 Outline Data Representation Binary Codes Why 6-3-1-1 and Excess-3? Data Representation (1/2) Each numbering

More information

Levent EREN levent.eren@ieu.edu.tr A-306 Office Phone:488-9882 INTRODUCTION TO DIGITAL LOGIC

Levent EREN levent.eren@ieu.edu.tr A-306 Office Phone:488-9882 INTRODUCTION TO DIGITAL LOGIC Levent EREN levent.eren@ieu.edu.tr A-306 Office Phone:488-9882 1 Number Systems Representation Positive radix, positional number systems A number with radix r is represented by a string of digits: A n

More information

CSEE 3827: Fundamentals of Computer Systems. Standard Forms and Simplification with Karnaugh Maps

CSEE 3827: Fundamentals of Computer Systems. Standard Forms and Simplification with Karnaugh Maps CSEE 3827: Fundamentals of Computer Systems Standard Forms and Simplification with Karnaugh Maps Agenda (M&K 2.3-2.5) Standard Forms Product-of-Sums (PoS) Sum-of-Products (SoP) converting between Min-terms

More information

CPEN 214 - Digital Logic Design Binary Systems

CPEN 214 - Digital Logic Design Binary Systems CPEN 4 - Digital Logic Design Binary Systems C. Gerousis Digital Design 3 rd Ed., Mano Prentice Hall Digital vs. Analog An analog system has continuous range of values A mercury thermometer Vinyl records

More information

Two-level logic using NAND gates

Two-level logic using NAND gates CSE140: Components and Design Techniques for Digital Systems Two and Multilevel logic implementation Tajana Simunic Rosing 1 Two-level logic using NND gates Replace minterm ND gates with NND gates Place

More information

NUMBER SYSTEMS. 1.1 Introduction

NUMBER SYSTEMS. 1.1 Introduction NUMBER SYSTEMS 1.1 Introduction There are several number systems which we normally use, such as decimal, binary, octal, hexadecimal, etc. Amongst them we are most familiar with the decimal number system.

More information

CSE140: Components and Design Techniques for Digital Systems

CSE140: Components and Design Techniques for Digital Systems CSE4: Components and Design Techniques for Digital Systems Tajana Simunic Rosing What we covered thus far: Number representations Logic gates Boolean algebra Introduction to CMOS HW#2 due, HW#3 assigned

More information

exclusive-or and Binary Adder R eouven Elbaz reouven@uwaterloo.ca Office room: DC3576

exclusive-or and Binary Adder R eouven Elbaz reouven@uwaterloo.ca Office room: DC3576 exclusive-or and Binary Adder R eouven Elbaz reouven@uwaterloo.ca Office room: DC3576 Outline exclusive OR gate (XOR) Definition Properties Examples of Applications Odd Function Parity Generation and Checking

More information

Boolean Algebra. Boolean Algebra. Boolean Algebra. Boolean Algebra

Boolean Algebra. Boolean Algebra. Boolean Algebra. Boolean Algebra 2 Ver..4 George Boole was an English mathematician of XIX century can operate on logic (or Boolean) variables that can assume just 2 values: /, true/false, on/off, closed/open Usually value is associated

More information

3.Basic Gate Combinations

3.Basic Gate Combinations 3.Basic Gate Combinations 3.1 TTL NAND Gate In logic circuits transistors play the role of switches. For those in the TTL gate the conducting state (on) occurs when the baseemmiter signal is high, and

More information

Sistemas Digitais I LESI - 2º ano

Sistemas Digitais I LESI - 2º ano Sistemas Digitais I LESI - 2º ano Lesson 6 - Combinational Design Practices Prof. João Miguel Fernandes (miguel@di.uminho.pt) Dept. Informática UNIVERSIDADE DO MINHO ESCOLA DE ENGENHARIA - PLDs (1) - The

More information

Lecture 8: Binary Multiplication & Division

Lecture 8: Binary Multiplication & Division Lecture 8: Binary Multiplication & Division Today s topics: Addition/Subtraction Multiplication Division Reminder: get started early on assignment 3 1 2 s Complement Signed Numbers two = 0 ten 0001 two

More information

CSI 333 Lecture 1 Number Systems

CSI 333 Lecture 1 Number Systems CSI 333 Lecture 1 Number Systems 1 1 / 23 Basics of Number Systems Ref: Appendix C of Deitel & Deitel. Weighted Positional Notation: 192 = 2 10 0 + 9 10 1 + 1 10 2 General: Digit sequence : d n 1 d n 2...

More information

Adder.PPT(10/1/2009) 5.1. Lecture 13. Adder Circuits

Adder.PPT(10/1/2009) 5.1. Lecture 13. Adder Circuits Adder.T(//29) 5. Lecture 3 Adder ircuits Objectives Understand how to add both signed and unsigned numbers Appreciate how the delay of an adder circuit depends on the data values that are being added together

More information

Useful Number Systems

Useful Number Systems Useful Number Systems Decimal Base = 10 Digit Set = {0, 1, 2, 3, 4, 5, 6, 7, 8, 9} Binary Base = 2 Digit Set = {0, 1} Octal Base = 8 = 2 3 Digit Set = {0, 1, 2, 3, 4, 5, 6, 7} Hexadecimal Base = 16 = 2

More information

Decimal Number (base 10) Binary Number (base 2)

Decimal Number (base 10) Binary Number (base 2) LECTURE 5. BINARY COUNTER Before starting with counters there is some vital information that needs to be understood. The most important is the fact that since the outputs of a digital chip can only be

More information

Comp 255Q - 1M: Computer Organization Lab #3 - Machine Language Programs for the PDP-8

Comp 255Q - 1M: Computer Organization Lab #3 - Machine Language Programs for the PDP-8 Comp 255Q - 1M: Computer Organization Lab #3 - Machine Language Programs for the PDP-8 January 22, 2013 Name: Grade /10 Introduction: In this lab you will write, test, and execute a number of simple PDP-8

More information

Systems I: Computer Organization and Architecture

Systems I: Computer Organization and Architecture Systems I: Computer Organization and Architecture Lecture 2: Number Systems and Arithmetic Number Systems - Base The number system that we use is base : 734 = + 7 + 3 + 4 = x + 7x + 3x + 4x = x 3 + 7x

More information

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng Digital Logic Design Basics Combinational Circuits Sequential Circuits Pu-Jen Cheng Adapted from the slides prepared by S. Dandamudi for the book, Fundamentals of Computer Organization and Design. Introduction

More information

HOMEWORK # 2 SOLUTIO

HOMEWORK # 2 SOLUTIO HOMEWORK # 2 SOLUTIO Problem 1 (2 points) a. There are 313 characters in the Tamil language. If every character is to be encoded into a unique bit pattern, what is the minimum number of bits required to

More information

Binary Division. Decimal Division. Hardware for Binary Division. Simple 16-bit Divider Circuit

Binary Division. Decimal Division. Hardware for Binary Division. Simple 16-bit Divider Circuit Decimal Division Remember 4th grade long division? 43 // quotient 12 521 // divisor dividend -480 41-36 5 // remainder Shift divisor left (multiply by 10) until MSB lines up with dividend s Repeat until

More information

Today. Binary addition Representing negative numbers. Andrew H. Fagg: Embedded Real- Time Systems: Binary Arithmetic

Today. Binary addition Representing negative numbers. Andrew H. Fagg: Embedded Real- Time Systems: Binary Arithmetic Today Binary addition Representing negative numbers 2 Binary Addition Consider the following binary numbers: 0 0 1 0 0 1 1 0 0 0 1 0 1 0 1 1 How do we add these numbers? 3 Binary Addition 0 0 1 0 0 1 1

More information

2.0 Chapter Overview. 2.1 Boolean Algebra

2.0 Chapter Overview. 2.1 Boolean Algebra Thi d t t d ith F M k 4 0 2 Boolean Algebra Chapter Two Logic circuits are the basis for modern digital computer systems. To appreciate how computer systems operate you will need to understand digital

More information

COMBINATIONAL CIRCUITS

COMBINATIONAL CIRCUITS COMBINATIONAL CIRCUITS http://www.tutorialspoint.com/computer_logical_organization/combinational_circuits.htm Copyright tutorialspoint.com Combinational circuit is a circuit in which we combine the different

More information

ECE 3401 Lecture 7. Concurrent Statements & Sequential Statements (Process)

ECE 3401 Lecture 7. Concurrent Statements & Sequential Statements (Process) ECE 3401 Lecture 7 Concurrent Statements & Sequential Statements (Process) Concurrent Statements VHDL provides four different types of concurrent statements namely: Signal Assignment Statement Simple Assignment

More information

Simplifying Logic Circuits with Karnaugh Maps

Simplifying Logic Circuits with Karnaugh Maps Simplifying Logic Circuits with Karnaugh Maps The circuit at the top right is the logic equivalent of the Boolean expression: f = abc + abc + abc Now, as we have seen, this expression can be simplified

More information

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER OBJECTIVES 1. Learn the basic elements of VHDL that are implemented in Warp. 2. Build a simple application using VHDL and

More information

Take-Home Exercise. z y x. Erik Jonsson School of Engineering and Computer Science. The University of Texas at Dallas

Take-Home Exercise. z y x. Erik Jonsson School of Engineering and Computer Science. The University of Texas at Dallas Take-Home Exercise Assume you want the counter below to count mod-6 backward. That is, it would count 0-5-4-3-2-1-0, etc. Assume it is reset on startup, and design the wiring to make the counter count

More information

Exponents. Learning Objectives 4-1

Exponents. Learning Objectives 4-1 Eponents -1 to - Learning Objectives -1 The product rule for eponents The quotient rule for eponents The power rule for eponents Power rules for products and quotient We can simplify by combining the like

More information

EXPERIMENT 4. Parallel Adders, Subtractors, and Complementors

EXPERIMENT 4. Parallel Adders, Subtractors, and Complementors EXPERIMENT 4. Parallel Adders, Subtractors, and Complementors I. Introduction I.a. Objectives In this experiment, parallel adders, subtractors and complementors will be designed and investigated. In the

More information

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa Experiment # 9 Clock generator circuits & Counters Eng. Waleed Y. Mousa 1. Objectives: 1. Understanding the principles and construction of Clock generator. 2. To be familiar with clock pulse generation

More information

Design Example: Counters. Design Example: Counters. 3-Bit Binary Counter. 3-Bit Binary Counter. Other useful counters:

Design Example: Counters. Design Example: Counters. 3-Bit Binary Counter. 3-Bit Binary Counter. Other useful counters: Design Eample: ers er: a sequential circuit that repeats a specified sequence of output upon clock pulses. A,B,C,, Z. G, O, T, E, R, P, S,!.,,,,,,,7. 7,,,,,,,.,,,,,,,,,,,. Binary counter: follows the binary

More information

COMPUTER SCIENCE. Paper 1 (THEORY)

COMPUTER SCIENCE. Paper 1 (THEORY) COMPUTER SCIENCE Paper 1 (THEORY) (Three hours) Maximum Marks: 70 (Candidates are allowed additional 15 minutes for only reading the paper. They must NOT start writing during this time) -----------------------------------------------------------------------------------------------------------------------

More information

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic NCNU_2013_DD_7_1 Chapter 7 Memory and Programmable Logic 71I 7.1 Introduction ti 7.2 Random Access Memory 7.3 Memory Decoding 7.5 Read Only Memory 7.6 Programmable Logic Array 77P 7.7 Programmable Array

More information

Logic in Computer Science: Logic Gates

Logic in Computer Science: Logic Gates Logic in Computer Science: Logic Gates Lila Kari The University of Western Ontario Logic in Computer Science: Logic Gates CS2209, Applied Logic for Computer Science 1 / 49 Logic and bit operations Computers

More information

Number Systems. Introduction / Number Systems

Number Systems. Introduction / Number Systems Number Systems Introduction / Number Systems Data Representation Data representation can be Digital or Analog In Analog representation values are represented over a continuous range In Digital representation

More information

DEPARTMENT OF INFORMATION TECHNLOGY

DEPARTMENT OF INFORMATION TECHNLOGY DRONACHARYA GROUP OF INSTITUTIONS, GREATER NOIDA Affiliated to Mahamaya Technical University, Noida Approved by AICTE DEPARTMENT OF INFORMATION TECHNLOGY Lab Manual for Computer Organization Lab ECS-453

More information

COMPUTER SCIENCE 1999 (Delhi Board)

COMPUTER SCIENCE 1999 (Delhi Board) COMPUTER SCIENCE 1999 (Delhi Board) Time allowed: 3 hours Max. Marks: 70 Instructions: (i) All the questions are compulsory. (ii) Programming Language: C++ QUESTION l. (a) Why main function is special?

More information

Mixed Logic A B A B. 1. Ignore all bubbles on logic gates and inverters. This means

Mixed Logic A B A B. 1. Ignore all bubbles on logic gates and inverters. This means Mixed Logic Introduction Mixed logic is a gate-level design methodology used in industry. It allows a digital logic circuit designer the functional description of the circuit from its physical implementation.

More information

Digital Electronics Detailed Outline

Digital Electronics Detailed Outline Digital Electronics Detailed Outline Unit 1: Fundamentals of Analog and Digital Electronics (32 Total Days) Lesson 1.1: Foundations and the Board Game Counter (9 days) 1. Safety is an important concept

More information

EE360: Digital Design I Course Syllabus

EE360: Digital Design I Course Syllabus : Course Syllabus Dr. Mohammad H. Awedh Fall 2008 Course Description This course introduces students to the basic concepts of digital systems, including analysis and design. Both combinational and sequential

More information

Scilab Textbook Companion for Digital Electronics: An Introduction To Theory And Practice by W. H. Gothmann 1

Scilab Textbook Companion for Digital Electronics: An Introduction To Theory And Practice by W. H. Gothmann 1 Scilab Textbook Companion for Digital Electronics: An Introduction To Theory And Practice by W. H. Gothmann 1 Created by Aritra Ray B.Tech Electronics Engineering NIT-DURGAPUR College Teacher Prof. Sabyasachi

More information

Designing Digital Circuits a modern approach. Jonathan Turner

Designing Digital Circuits a modern approach. Jonathan Turner Designing Digital Circuits a modern approach Jonathan Turner 2 Contents I First Half 5 1 Introduction to Designing Digital Circuits 7 1.1 Getting Started.......................... 7 1.2 Gates and Flip

More information

Digital Electronics Part I Combinational and Sequential Logic. Dr. I. J. Wassell

Digital Electronics Part I Combinational and Sequential Logic. Dr. I. J. Wassell Digital Electronics Part I Combinational and Sequential Logic Dr. I. J. Wassell Introduction Aims To familiarise students with Combinational logic circuits Sequential logic circuits How digital logic gates

More information

Lecture 11: Number Systems

Lecture 11: Number Systems Lecture 11: Number Systems Numeric Data Fixed point Integers (12, 345, 20567 etc) Real fractions (23.45, 23., 0.145 etc.) Floating point such as 23. 45 e 12 Basically an exponent representation Any number

More information

Lecture 2. Binary and Hexadecimal Numbers

Lecture 2. Binary and Hexadecimal Numbers Lecture 2 Binary and Hexadecimal Numbers Purpose: Review binary and hexadecimal number representations Convert directly from one base to another base Review addition and subtraction in binary representations

More information

Lecture 4: Binary. CS442: Great Insights in Computer Science Michael L. Littman, Spring 2006. I-Before-E, Continued

Lecture 4: Binary. CS442: Great Insights in Computer Science Michael L. Littman, Spring 2006. I-Before-E, Continued Lecture 4: Binary CS442: Great Insights in Computer Science Michael L. Littman, Spring 26 I-Before-E, Continued There are two ideas from last time that I d like to flesh out a bit more. This time, let

More information

Divide: Paper & Pencil. Computer Architecture ALU Design : Division and Floating Point. Divide algorithm. DIVIDE HARDWARE Version 1

Divide: Paper & Pencil. Computer Architecture ALU Design : Division and Floating Point. Divide algorithm. DIVIDE HARDWARE Version 1 Divide: Paper & Pencil Computer Architecture ALU Design : Division and Floating Point 1001 Quotient Divisor 1000 1001010 Dividend 1000 10 101 1010 1000 10 (or Modulo result) See how big a number can be

More information

Chapter 2. Binary Values and Number Systems

Chapter 2. Binary Values and Number Systems Chapter 2 Binary Values and Number Systems Numbers Natural numbers, a.k.a. positive integers Zero and any number obtained by repeatedly adding one to it. Examples: 100, 0, 45645, 32 Negative numbers A

More information

Understanding Logic Design

Understanding Logic Design Understanding Logic Design ppendix of your Textbook does not have the needed background information. This document supplements it. When you write add DD R0, R1, R2, you imagine something like this: R1

More information

IBM Emulation Mode Printer Commands

IBM Emulation Mode Printer Commands IBM Emulation Mode Printer Commands Section 3 This section provides a detailed description of IBM emulation mode commands you can use with your printer. Control Codes Control codes are one-character printer

More information

Combinational Logic Design

Combinational Logic Design Chapter 4 Combinational Logic Design The foundations for the design of digital logic circuits were established in the preceding chapters. The elements of Boolean algebra (two-element switching algebra

More information

Philadelphia University Faculty of Information Technology Department of Computer Science ----- Semester, 2007/2008.

Philadelphia University Faculty of Information Technology Department of Computer Science ----- Semester, 2007/2008. Philadelphia University Faculty of Information Technology Department of Computer Science ----- Semester, 2007/2008 Course Syllabus Course Title: Computer Logic Design Course Level: 1 Lecture Time: Course

More information

HTML Codes - Characters and symbols

HTML Codes - Characters and symbols ASCII Codes HTML Codes Conversion References Control Characters English version Versión español Click here to add this link to your favorites. HTML Codes - Characters and symbols Standard ASCII set, HTML

More information

4. How many integers between 2004 and 4002 are perfect squares?

4. How many integers between 2004 and 4002 are perfect squares? 5 is 0% of what number? What is the value of + 3 4 + 99 00? (alternating signs) 3 A frog is at the bottom of a well 0 feet deep It climbs up 3 feet every day, but slides back feet each night If it started

More information

4. Binomial Expansions

4. Binomial Expansions 4. Binomial Expansions 4.. Pascal's Triangle The expansion of (a + x) 2 is (a + x) 2 = a 2 + 2ax + x 2 Hence, (a + x) 3 = (a + x)(a + x) 2 = (a + x)(a 2 + 2ax + x 2 ) = a 3 + ( + 2)a 2 x + (2 + )ax 2 +

More information

Chapter Binary, Octal, Decimal, and Hexadecimal Calculations

Chapter Binary, Octal, Decimal, and Hexadecimal Calculations Chapter 5 Binary, Octal, Decimal, and Hexadecimal Calculations This calculator is capable of performing the following operations involving different number systems. Number system conversion Arithmetic

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS

More information