Information and Communication Technologies. Raúl Mateos Gil. Electronic DESCRIPTION OF THE RESEARCH RESULTS

Size: px
Start display at page:

Download "Information and Communication Technologies. Raúl Mateos Gil. Electronic DESCRIPTION OF THE RESEARCH RESULTS"

Transcription

1 Ref.: TIC_UAH_08 Industrial Sector Researcher Department Information and Communication Technologies Raúl Mateos Gil Electronic Contact Details Web Site Title DESCRIPTION OF THE RESEARCH RESULTS System on Chip (SoC) development and verification tools Abstract A research group from the Electronics Department of Alcalá University has developed a technology for design and verification of System on Chip (SoC). These tools allow to simulate at high speed, the SoC behaviour in its double aspect HW/SW, providing to the designer a rapid and precise information about the performance of the evaluated design. This allows to reduce the development time and the consequently the time-to-market. The group looks for companies from aerospace and ICT sector to sign commercial agreements with technical assistance. Description and special features System On Chip technologies are especially useful in aerospace applications like avionics systems, missiles, unmanned vehicles and smart munitions, where high performance processing systems with high power efficiency are required and limited space for electronic systems is a common constraint. The tools developed by the group allow: - joint verification of both hardware and software of the SoC, 1

2 - starting the development of the embedded software without needing to have the real platform, - detecting in a rapid and precise way functional errors and/or design bottlenecks, - evaluating different architectural alternatives and - carrying out a quantitative analysis of each alternative in order to determine the optimum architecture of the SoC, etc. An integrated environment is arranged that has the necessary tools to cover the different phases of the SoC development and verification flow: - A processor simulator based on binary translation techniques for embedded software development and debugging. - HW/SW co-simulation environment based on models TLM for design space exploration. - Analysis tools. They allow to obtain quantitative performance information about the processor (classic profiling, gantt charts), memory subsystem memory (cache hit rate, average access latency, data access traces) and bus architecture (occupation level, effective bus bandwidth, average arbitration time), etc. - RTL HW/SW co-simulation environment for the verification of the final design implementation. Innovative aspects FPGA are one of the most cost efficient alternatives for SoC implementation, specially those that are based in SW cores processors, but it doesn t exist nowadays any tool with features similar to ours. Our solution offers a simulation speed more than higher than the commercial options already in the market. In addition the former only allow to evaluate design performance by means of trial and error approach, being an extremely inefficient alternative. Technology Keywords Ingeniería electrónica, Sistemas embebidos y sistemas en tiempo real, Sistemas digitales, representación digital, Tecnologías de periféricos (almacenado de datos, tecnologías de visualización) relacionadas con electrónica y microelectrónica. Scientific domains Information and Communication Technologies Industrial Manufacture, Material and Transport technologies Other Industrial Technologies Energy Physical and Exact Sciences Biological Sciences Agriculture and Marine Resources Agrofood Industry Measurements and standards Environment and risk prevention Socioeconomics 2

3 UNESCO Codes Scientific Area Codes Current stage of development of the research result Development phase Developed, available for demonstration Already on the market Funding Research European RTD project National project Competitive advantages Regional project Private funding COMMERCIAL ASPECTS The use of these tools increases the designer productivity, diminishes the development time, reduces the risks of re-design due to not detected mistakes, etc. All of this is translated in a consequent decrease of costs and an advantage against the competition by reducing the time-tomarket. Current state of industrial and intellectual property Patent applied Patent granted Software registered Comments Exclusive rights Secret know how Copyright protected The research group has the secret know-how of the technology. 3

4 Type of collaboration sought Technical cooperation Joint venture agreement Manufacturing agreement Commercial agreement with technical assistance License agreement Comments The research group looks for companies from the aerospace or ICT sector in order to sign Commercial Agreements with Technical Assistance and Cooperation Agreements. The group will adapt the technology due to the specifications given by the company that culminates in a successful implementation and use of the technology. Industrial sectors of the potential users NACE Codes - 30: Industrias de Material y Equipo Eléctrico, Electrónico y Óptico : Fabricación de instrumentos y aparatos de medida : Construcción aeronáutica y espacial Additional information Jointly HW/SW verification feature 4

5 Example of jointly hardware software performance analysis Speed comparative of our solution vs. other commercial solutions 5

6 Contact Details Universidad de Alcalá-Oficina de Transferencia de Resultados de Investigación (OTRI) Parque Científico Tecnológico de la UAH (Campus Universitário) Edificio Multiservicios Avda. Punto Com Alcalá de Henares (Madrid) / otriuah@uah.es 6

Mariano Gómez Plaza. Computer Engineering DESCRIPTION OF THE RESEARCH RESULTS

Mariano Gómez Plaza. Computer Engineering DESCRIPTION OF THE RESEARCH RESULTS Ref.: TIC_UAH_04 Industrial Sector Automotion and Space Researcher Department Mariano Gómez Plaza Computer Engineering Contact Details +34 91 885 65 94 / +34 629 80 40 67 91 885 69 23 mgomez@aut.uah.es

More information

DESCRIPTION OF THE RESEARCH RESULTS

DESCRIPTION OF THE RESEARCH RESULTS Ref.: TIC_UAH_33 Industrial Sector Researcher Department Industrial Automation Felipe Espinosa Zapata José Luis Lázaro Galilea Electronics Contact Details 91 885 6545 91 885 6591 felipe.espinosa@uah.es

More information

Innovative aspects. Scientific domains. UNESCO Codes

Innovative aspects. Scientific domains. UNESCO Codes Ref.: TIC_UAH_11 Industrial Sector Researcher Department Information and Communication Technologies Guillermo Ibáñez Fernández Automatic Contact Details 91 885 69 27 91 885 guillermo.ibanez@uah.es Web

More information

Systems on Chip Design

Systems on Chip Design Systems on Chip Design College: Engineering Department: Electrical First: Course Definition, a Summary: 1 Course Code: EE 19 Units: 3 credit hrs 3 Level: 3 rd 4 Prerequisite: Basic knowledge of microprocessor/microcontroller

More information

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH WHITE PAPER METRIC-DRIVEN VERIFICATION ENSURES SOFTWARE DEVELOPMENT QUALITY BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH INTRODUCTION The complexity of electronic systems is rapidly

More information

Grupo de Ingeniería de Sistemas Integrados (ISIS) Dpto. Tecnología Electrónica Universidad de Málaga

Grupo de Ingeniería de Sistemas Integrados (ISIS) Dpto. Tecnología Electrónica Universidad de Málaga Grupo de Ingeniería de Sistemas Integrados (ISIS) Dpto. Tecnología Electrónica Universidad de Málaga FP7-ENERGY: Oportunidades de Financiación Europea de la I+D+i y de Liderazgo para empresas y grupos

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU ESE566 REPORT3 Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU Nov 19th, 2002 ABSTRACT: In this report, we discuss several recent published papers on design methodologies of core-based

More information

Introducción. Diseño de sistemas digitales.1

Introducción. Diseño de sistemas digitales.1 Introducción Adapted from: Mary Jane Irwin ( www.cse.psu.edu/~mji ) www.cse.psu.edu/~cg431 [Original from Computer Organization and Design, Patterson & Hennessy, 2005, UCB] Diseño de sistemas digitales.1

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Codesign: The World Of Practice

Codesign: The World Of Practice Codesign: The World Of Practice D. Sreenivasa Rao Senior Manager, System Level Integration Group Analog Devices Inc. May 2007 Analog Devices Inc. ADI is focused on high-end signal processing chips and

More information

Curriculum Reform in Computing in Spain

Curriculum Reform in Computing in Spain Curriculum Reform in Computing in Spain Sergio Luján Mora Deparment of Software and Computing Systems Content Introduction Computing Disciplines i Computer Engineering Computer Science Information Systems

More information

Custom design services

Custom design services Custom design services Your partner for electronic design services and solutions Barco Silex, Barco s center of competence for micro-electronic design, has established a solid reputation in the development

More information

FPGA Prototyping Primer

FPGA Prototyping Primer FPGA Prototyping Primer S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com What is FPGA prototyping? FPGA prototyping is the methodology

More information

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers.

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Nowadays, System Engineers are placed in the centre of two antagonist flows: microelectronic systems

More information

ARM Webinar series. ARM Based SoC. Abey Thomas

ARM Webinar series. ARM Based SoC. Abey Thomas ARM Webinar series ARM Based SoC Verification Abey Thomas Agenda About ARM and ARM IP ARM based SoC Verification challenges Verification planning and strategy IP Connectivity verification Performance verification

More information

Intel Data Direct I/O Technology (Intel DDIO): A Primer >

Intel Data Direct I/O Technology (Intel DDIO): A Primer > Intel Data Direct I/O Technology (Intel DDIO): A Primer > Technical Brief February 2012 Revision 1.0 Legal Statements INFORMATION IN THIS DOCUMENT IS PROVIDED IN CONNECTION WITH INTEL PRODUCTS. NO LICENSE,

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

High Performance or Cycle Accuracy?

High Performance or Cycle Accuracy? CHIP DESIGN High Performance or Cycle Accuracy? You can have both! Bill Neifert, Carbon Design Systems Rob Kaye, ARM ATC-100 AGENDA Modelling 101 & Programmer s View (PV) Models Cycle Accurate Models Bringing

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Computer Engineering: Incoming MS Student Orientation Requirements & Course Overview

Computer Engineering: Incoming MS Student Orientation Requirements & Course Overview Computer Engineering: Incoming MS Student Orientation Requirements & Course Overview Prof. Charles Zukowski (caz@columbia.edu) Interim Chair, September 3, 2015 MS Requirements: Overview (see bulletin for

More information

Introduction to System-on-Chip

Introduction to System-on-Chip Introduction to System-on-Chip COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

Rapid System Prototyping with FPGAs

Rapid System Prototyping with FPGAs Rapid System Prototyping with FPGAs By R.C. Coferand Benjamin F. Harding AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO Newnes is an imprint of

More information

White Paper 40-nm FPGAs and the Defense Electronic Design Organization

White Paper 40-nm FPGAs and the Defense Electronic Design Organization White Paper 40-nm FPGAs and the Defense Electronic Design Organization Introduction With Altera s introduction of 40-nm FPGAs, the design domains of military electronics that can be addressed with programmable

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

System Level Virtual Prototyping becomes a reality with OVP donation from Imperas.

System Level Virtual Prototyping becomes a reality with OVP donation from Imperas. System Level Virtual Prototyping becomes a reality with OVP donation from Imperas. Brian Bailey EDA Consultant Abstract For many years, Electronic System Level (ESL) design and verification has been on

More information

On-Chip Communications Network Report

On-Chip Communications Network Report On-Chip Communications Network Report ABSTRACT This report covers the results of an independent, blind worldwide survey covering on-chip communications networks (OCCN), defined as is the entire interconnect

More information

Welcome to the School of Aeronautics and Aerospace Engineering - Technical University of Madrid (UPM)-

Welcome to the School of Aeronautics and Aerospace Engineering - Technical University of Madrid (UPM)- Welcome to the School of Aeronautics and Aerospace Engineering - Technical University of Madrid (UPM)- THE SCHOOL OF AERONAUTICS AND AEROSPACE ENGINEERING (Escuela de Ingeniería Aeronáutica y del Espacio

More information

Embedded Development Tools

Embedded Development Tools Embedded Development Tools Software Development Tools by ARM ARM tools enable developers to get the best from their ARM technology-based systems. Whether implementing an ARM processor-based SoC, writing

More information

Valuation of Software Intangible Assets

Valuation of Software Intangible Assets Valuation of Software Intangible Assets Eric A. Thornton Senior Associate (703) 917-6616 eathornton@willamette.com ASA International Conference San Diego, California August 28, 2002 San Francisco, California

More information

Video Conference System

Video Conference System CSEE 4840: Embedded Systems Spring 2009 Video Conference System Manish Sinha Srikanth Vemula Project Overview Top frame of screen will contain the local video Bottom frame will contain the network video

More information

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models System Development Models and Methods Dipl.-Inf. Mirko Caspar Version: 10.02.L.r-1.0-100929 Contents HW/SW Codesign Process Design Abstraction and Views Synthesis Control/Data-Flow Models System Synthesis

More information

Performance evaluation

Performance evaluation Performance evaluation Arquitecturas Avanzadas de Computadores - 2547021 Departamento de Ingeniería Electrónica y de Telecomunicaciones Facultad de Ingeniería 2015-1 Bibliography and evaluation Bibliography

More information

Vehicle Electronics. Services and Solutions to Manage the Complexity

Vehicle Electronics. Services and Solutions to Manage the Complexity Vehicle Electronics Services and Solutions to Manage the Complexity INNOVATIONS & DEVELOPMENT CYCLES Commercial vehicle manufacturers are experiencing a technological change. In addition to the rising

More information

A Hardware and Software Monitor for High-Level System-on-Chip Verification

A Hardware and Software Monitor for High-Level System-on-Chip Verification A Hardware and Software Monitor for High-Level System-on-Chip Verification M. El Shobaki and L. Lindh International Symposium on Quality Electronic Design, 2001 Presenter: Gu, Ruei-Ting What s the problem?

More information

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw Feb. 2013 Course Overview

More information

International Summer School on Embedded Systems

International Summer School on Embedded Systems International Summer School on Embedded Systems Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences Shenzhen, July 30 -- August 3, 2012 Sponsored by Chinese Academy of Sciences and

More information

Hybrid Platform Application in Software Debug

Hybrid Platform Application in Software Debug Hybrid Platform Application in Software Debug Jiao Feng July 15 2015.7.15 Software costs in SoC development 2 Early software adoption Previous Development Process IC Development RTL Design Physical Design

More information

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut.

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut. System-on on-chip Design Flow Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems jouni.tomberg@tut.fi 26.03.2003 Jouni Tomberg / TUT 1 SoC - How and with whom?

More information

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com.

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com. White Paper FPGA Prototyping of System-on-Chip Designs The Need for a Complete Prototyping Platform for Any Design Size, Any Design Stage with Enterprise-Wide Access, Anytime, Anywhere S2C Inc. 1735 Technology

More information

Universal Flash Storage: Mobilize Your Data

Universal Flash Storage: Mobilize Your Data White Paper Universal Flash Storage: Mobilize Your Data Executive Summary The explosive growth in portable devices over the past decade continues to challenge manufacturers wishing to add memory to their

More information

Image Processing Application Development: From Rapid Prototyping to SW/HW Co-simulation and Automated Code Generation

Image Processing Application Development: From Rapid Prototyping to SW/HW Co-simulation and Automated Code Generation 659 Image Processing Application Development: From Rapid Prototyping to SW/HW Co-simulation and Automated Code Generation Cristina Vicente 1, Ana Toledo 2, and Pedro Sánchez-Palma 1 1 Departamento de Tecnologías

More information

Making Multicore Work and Measuring its Benefits. Markus Levy, president EEMBC and Multicore Association

Making Multicore Work and Measuring its Benefits. Markus Levy, president EEMBC and Multicore Association Making Multicore Work and Measuring its Benefits Markus Levy, president EEMBC and Multicore Association Agenda Why Multicore? Standards and issues in the multicore community What is Multicore Association?

More information

on-chip and Embedded Software Perspectives and Needs

on-chip and Embedded Software Perspectives and Needs Systems-on on-chip and Embedded Software - Perspectives and Needs Miguel Santana Central R&D, STMicroelectronics STMicroelectronics Outline Current trends for SoCs Consequences and challenges Needs: Tackling

More information

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Simulink targeting ASIC/FGPA. Previously Worked as logic

More information

Measuring Cache and Memory Latency and CPU to Memory Bandwidth

Measuring Cache and Memory Latency and CPU to Memory Bandwidth White Paper Joshua Ruggiero Computer Systems Engineer Intel Corporation Measuring Cache and Memory Latency and CPU to Memory Bandwidth For use with Intel Architecture December 2008 1 321074 Executive Summary

More information

Certification of a Scade 6 compiler

Certification of a Scade 6 compiler Certification of a Scade 6 compiler F-X Fornari Esterel Technologies 1 Introduction Topic : What does mean developping a certified software? In particular, using embedded sofware development rules! What

More information

Hardware in the Loop (HIL) Testing VU 2.0, 182.117, WS 2008/09

Hardware in the Loop (HIL) Testing VU 2.0, 182.117, WS 2008/09 Testen von Embedded Systems Hardware in the Loop (HIL) Testing VU 2.0, 182.117, WS 2008/09 Raimund dkirner Testing Embedded Software Testing the whole system including the physical environment is not possible

More information

CoProcessor Design for Crypto- Applications using Hyperelliptic Curve Cryptography

CoProcessor Design for Crypto- Applications using Hyperelliptic Curve Cryptography CoProcessor Design for Crypto- Applications using Hyperelliptic Curve Cryptography 28. Februar 2008 Alexander Klimm, Oliver Sander, Jürgen Becker Institut für Technik der Informationsverarbeitung Sylvain

More information

ELEC 5260/6260/6266 Embedded Computing Systems

ELEC 5260/6260/6266 Embedded Computing Systems ELEC 5260/6260/6266 Embedded Computing Systems Spring 2016 Victor P. Nelson Text: Computers as Components, 3 rd Edition Prof. Marilyn Wolf (Georgia Tech) Course Topics Embedded system design & modeling

More information

Service Oriented Architecture for Agricultural Vehicles

Service Oriented Architecture for Agricultural Vehicles Service Oriented Architecture for Agricultural Vehicles Leipzig, 30.9.2010 8. Workshop Automotive Software Engineering Dr. G. Kormann, M. Hoeh, H.J. Nissen THE END of Embedded Software? www.electronics-ktn.com/

More information

AMD Opteron Quad-Core

AMD Opteron Quad-Core AMD Opteron Quad-Core a brief overview Daniele Magliozzi Politecnico di Milano Opteron Memory Architecture native quad-core design (four cores on a single die for more efficient data sharing) enhanced

More information

Copyright www.agileload.com 1

Copyright www.agileload.com 1 Copyright www.agileload.com 1 INTRODUCTION Performance testing is a complex activity where dozens of factors contribute to its success and effective usage of all those factors is necessary to get the accurate

More information

42: A Component-Based Approach to Virtual Prototyping of Heterogeneous Embedded Systems

42: A Component-Based Approach to Virtual Prototyping of Heterogeneous Embedded Systems tel-00539648, version 1-24 Nov 20 42: A Component-Based Approach to Virtual Prototyping of Heterogeneous Embedded Systems Ph.D. Defense Tayeb bouhadiba Directrice de thèse : Florence maraninchi Jury: Marc

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design Applying the Benefits of on a Chip Architecture to FPGA System Design WP-01149-1.1 White Paper This document describes the advantages of network on a chip (NoC) architecture in Altera FPGA system design.

More information

Contents. Introduction. Introduction and Motivation Embedded Systems (ES) Content of Lecture Organisational

Contents. Introduction. Introduction and Motivation Embedded Systems (ES) Content of Lecture Organisational Introduction Dipl.-Inf. Mirko Caspar Version: 10.01.V.r-1.0-100928 Contents Introduction and Motivation Embedded Systems (ES) characterisation mechatronics requirements classification Content of Lecture

More information

Hardware Virtualization for Pre-Silicon Software Development in Automotive Electronics

Hardware Virtualization for Pre-Silicon Software Development in Automotive Electronics Hardware Virtualization for Pre-Silicon Software Development in Automotive Electronics Frank Schirrmeister, Filip Thoen fschirr@synopsys.com Synopsys, Inc. Market Trends & Challenges Growing electronics

More information

Capacity Plan. Template. Version X.x October 11, 2012

Capacity Plan. Template. Version X.x October 11, 2012 Template Version X.x October 11, 2012 This is an integral part of infrastructure and deployment planning. It supports the goal of optimum provisioning of resources and services by aligning them to business

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

OpenSPARC T1 Processor

OpenSPARC T1 Processor OpenSPARC T1 Processor The OpenSPARC T1 processor is the first chip multiprocessor that fully implements the Sun Throughput Computing Initiative. Each of the eight SPARC processor cores has full hardware

More information

Tensilica Software Development Toolkit (SDK)

Tensilica Software Development Toolkit (SDK) Tensilica Datasheet Tensilica Software Development Toolkit (SDK) Quickly develop application code Features Cadence Tensilica Xtensa Xplorer Integrated Development Environment (IDE) with full graphical

More information

Virtual Platforms Addressing challenges in telecom product development

Virtual Platforms Addressing challenges in telecom product development white paper Virtual Platforms Addressing challenges in telecom product development This page is intentionally left blank. EXECUTIVE SUMMARY Telecom Equipment Manufacturers (TEMs) are currently facing numerous

More information

DACOTA: Post-silicon Validation of the Memory Subsystem in Multi-core Designs. Presenter: Bo Zhang Yulin Shi

DACOTA: Post-silicon Validation of the Memory Subsystem in Multi-core Designs. Presenter: Bo Zhang Yulin Shi DACOTA: Post-silicon Validation of the Memory Subsystem in Multi-core Designs Presenter: Bo Zhang Yulin Shi Outline Motivation & Goal Solution - DACOTA overview Technical Insights Experimental Evaluation

More information

Hunting Asynchronous CDC Violations in the Wild

Hunting Asynchronous CDC Violations in the Wild Hunting Asynchronous Violations in the Wild Chris Kwok Principal Engineer May 4, 2015 is the #2 Verification Problem Why is a Big Problem: 10 or More Clock Domains are Common Even FPGA Users Are Suffering

More information

STEM Occupations and Salary Information

STEM Occupations and Salary Information STEM s and Salary Information al Outl ook Quarterl y Spri ng 04 www.bls.gov/ooq Table : STEM occupations, by occupational group Management Architectural and engineering managers systems managers Natural

More information

Efficient Software Development Platforms for Multimedia Applications at Different Abstraction Levels

Efficient Software Development Platforms for Multimedia Applications at Different Abstraction Levels Efficient Software Development Platforms for Multimedia Applications at Different ion Levels Katalin Popovici 1 Xavier Guerin 1 1 TIMA Laboratory 46 Avenue Felix Viallet F38031, Grenoble, FRANCE {FirstName.LastName@imag.fr}

More information

Hardware Acceleration for High-density Datacenter Monitoring

Hardware Acceleration for High-density Datacenter Monitoring Hardware Acceleration for High-density Datacenter Monitoring Datacenter IaaS Workshop 2014 Denis Matoušek matousek@invea.com Company Introduction Czech university spin-off company Tight cooperation with

More information

Dynamic Memory Management for Embedded Real-Time Systems

Dynamic Memory Management for Embedded Real-Time Systems Dynamic Memory Management for Embedded Real-Time Systems Alfons Crespo, Ismael Ripoll and Miguel Masmano Grupo de Informática Industrial Sistemas de Tiempo Real Universidad Politécnica de Valencia Instituto

More information

ARM Microprocessor and ARM-Based Microcontrollers

ARM Microprocessor and ARM-Based Microcontrollers ARM Microprocessor and ARM-Based Microcontrollers Nguatem William 24th May 2006 A Microcontroller-Based Embedded System Roadmap 1 Introduction ARM ARM Basics 2 ARM Extensions Thumb Jazelle NEON & DSP Enhancement

More information

Israel s Aerospace Industry. Higher Faster Farther

Israel s Aerospace Industry. Higher Faster Farther Israel s Aerospace Industry Higher Faster Farther April 2013 Areas of Activity Civil/Commercial Aviation Space Applications Military & Defense Israel Aerospace Innovation Aviation Space UAV Gulfstream

More information

Offline HW/SW Authentication for Reconfigurable Platforms

Offline HW/SW Authentication for Reconfigurable Platforms Offline HW/SW Authentication for Reconfigurable Platforms Eric Simpson Virginia Tech esimpson@vt.edu Patrick Schaumont Virginia Tech schaum@vt.edu Abstract Many Field-Programmable Gate Array (FPGA) based

More information

Modeling a GPS Receiver Using SystemC

Modeling a GPS Receiver Using SystemC Modeling a GPS Receiver using SystemC Modeling a GPS Receiver Using SystemC Bernhard Niemann Reiner Büttner Martin Speitel http://www.iis.fhg.de http://www.iis.fhg.de/kursbuch/kurse/systemc.html The e

More information

How To Design A Single Chip System Bus (Amba) For A Single Threaded Microprocessor (Mma) (I386) (Mmb) (Microprocessor) (Ai) (Bower) (Dmi) (Dual

How To Design A Single Chip System Bus (Amba) For A Single Threaded Microprocessor (Mma) (I386) (Mmb) (Microprocessor) (Ai) (Bower) (Dmi) (Dual Architetture di bus per System-On On-Chip Massimo Bocchi Corso di Architettura dei Sistemi Integrati A.A. 2002/2003 System-on on-chip motivations 400 300 200 100 0 19971999 2001 2003 2005 2007 2009 Transistors

More information

CS Standards Crosswalk: CSTA K-12 Computer Science Standards and Oracle Java Programming (2014)

CS Standards Crosswalk: CSTA K-12 Computer Science Standards and Oracle Java Programming (2014) CS Standards Crosswalk: CSTA K-12 Computer Science Standards and Oracle Java Programming (2014) CSTA Website Oracle Website Oracle Contact http://csta.acm.org/curriculum/sub/k12standards.html https://academy.oracle.com/oa-web-introcs-curriculum.html

More information

MPSoC Virtual Platforms

MPSoC Virtual Platforms CASTNESS 2007 Workshop MPSoC Virtual Platforms Rainer Leupers Software for Systems on Silicon (SSS) RWTH Aachen University Institute for Integrated Signal Processing Systems Why focus on virtual platforms?

More information

Platform-Based Design and the First Generation Dilemma Jiang Xu and Wayne Wolf

Platform-Based Design and the First Generation Dilemma Jiang Xu and Wayne Wolf Platform-Based Design and the First Generation Dilemma Jiang Xu and Wayne Wolf Dept. of ELE, Princeton University Jiangxu, Wolf@ee.Princeton.edu Abstract In this paper, we analyze system-level design methodologies

More information

Motivations 1. What is (or should be) the essential preoccupation of computer scientists?

Motivations 1. What is (or should be) the essential preoccupation of computer scientists? Improving Systems Quality Challenges and Trends An Abstract Interpretation Perspective Patrick COUSOT École Normale Supérieure 45 rue d Ulm, 75230 Paris cedex 05, France Patrick.Cousot@ens.fr www.di.ens.fr/

More information

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor Von der Hardware zur Software in FPGAs mit Embedded Prozessoren Alexander Hahn Senior Field Application Engineer Lattice Semiconductor AGENDA Overview Mico32 Embedded Processor Development Tool Chain HW/SW

More information

Memory Access Control in Multiprocessor for Real-time Systems with Mixed Criticality

Memory Access Control in Multiprocessor for Real-time Systems with Mixed Criticality Memory Access Control in Multiprocessor for Real-time Systems with Mixed Criticality Heechul Yun +, Gang Yao +, Rodolfo Pellizzoni *, Marco Caccamo +, Lui Sha + University of Illinois at Urbana and Champaign

More information

Extending Platform-Based Design to Network on Chip Systems

Extending Platform-Based Design to Network on Chip Systems Extending Platform-Based Design to Network on Chip Systems Juha-Pekka Soininen 1, Axel Jantsch 2, Martti Forsell 1, Antti Pelkonen 1, Jari Kreku 1, and Shashi Kumar 2 1 VTT Electronics (Technical Research

More information

CMSC 611: Advanced Computer Architecture

CMSC 611: Advanced Computer Architecture CMSC 611: Advanced Computer Architecture Parallel Computation Most slides adapted from David Patterson. Some from Mohomed Younis Parallel Computers Definition: A parallel computer is a collection of processing

More information

Performance Monitoring of the Software Frameworks for LHC Experiments

Performance Monitoring of the Software Frameworks for LHC Experiments Proceedings of the First EELA-2 Conference R. mayo et al. (Eds.) CIEMAT 2009 2009 The authors. All rights reserved Performance Monitoring of the Software Frameworks for LHC Experiments William A. Romero

More information

Java Environment for Parallel Realtime Development Platform Independent Software Development for Multicore Systems

Java Environment for Parallel Realtime Development Platform Independent Software Development for Multicore Systems Java Environment for Parallel Realtime Development Platform Independent Software Development for Multicore Systems Ingo Prötel, aicas GmbH Computing Frontiers 6 th of May 2008, Ischia, Italy Jeopard-Project:

More information

Optimizing Configuration and Application Mapping for MPSoC Architectures

Optimizing Configuration and Application Mapping for MPSoC Architectures Optimizing Configuration and Application Mapping for MPSoC Architectures École Polytechnique de Montréal, Canada Email : Sebastien.Le-Beux@polymtl.ca 1 Multi-Processor Systems on Chip (MPSoC) Design Trends

More information

CoreSight SoC enabling efficient design of custom debug and trace subsystems for complex SoCs

CoreSight SoC enabling efficient design of custom debug and trace subsystems for complex SoCs CoreSight SoC enabling efficient design of custom debug and trace subsystems for complex SoCs Key steps to create a debug and trace solution for an ARM SoC Mayank Sharma, Technical Marketing Engineer,

More information

Avoiding pitfalls in PROFINET RT and IRT Node Implementation

Avoiding pitfalls in PROFINET RT and IRT Node Implementation Avoiding pitfalls in PROFINET RT and IRT Node Implementation Prof. Hans D. Doran ZHAW / Institute of Embedded Systems Technikumstrasse 9, 8400 Winterthur, Switzerland E-Mail: hans.doran@zhaw.ch Lukas Itin

More information

COMPUTER ORGANIZATION ARCHITECTURES FOR EMBEDDED COMPUTING

COMPUTER ORGANIZATION ARCHITECTURES FOR EMBEDDED COMPUTING COMPUTER ORGANIZATION ARCHITECTURES FOR EMBEDDED COMPUTING 2013/2014 1 st Semester Sample Exam January 2014 Duration: 2h00 - No extra material allowed. This includes notes, scratch paper, calculator, etc.

More information

The Export Control Lists of the European Union

The Export Control Lists of the European Union The Export Control Lists of the European Union Taipei, 2 nd September 2014 Joachim Wahren: Retired Head of Directorate, Federal Office of Economics and Export Control (BAFA), Germany 1 Content The Consolidated

More information

Ingeniería de Servicios y Protocolos Services and Protocols Engineering LEARNING GUIDE

Ingeniería de Servicios y Protocolos Services and Protocols Engineering LEARNING GUIDE Ingeniería de servicios y protocolos Services and protocols engineering LEARNING GUIDE 1 COURSE SUBJECT ECTS 5 CHARACTER TITLE Descriptive Data Services and protocols engineering (Ingeniería de servicios

More information

Professional Training Program

Professional Training Program Professional Training Program Ministry of Communication and Information Technology Embedded System Design About Program Who May Apply? Learning Environment Program Overview Program Architecture Partnership

More information

Using On-chip Networks to Minimize Software Development Costs

Using On-chip Networks to Minimize Software Development Costs Using On-chip Networks to Minimize Software Development Costs Today s multi-core SoCs face rapidly escalating costs driven by the increasing number of cores on chips. It is common to see code bases for

More information

System Performance Analysis of an All Programmable SoC

System Performance Analysis of an All Programmable SoC XAPP1219 (v1.1) November 5, 2015 Application Note: Zynq-7000 AP SoC System Performance Analysis of an All Programmable SoC Author: Forrest Pickett Summary This application note educates users on the evaluation,

More information

SOC architecture and design

SOC architecture and design SOC architecture and design system-on-chip (SOC) processors: become components in a system SOC covers many topics processor: pipelined, superscalar, VLIW, array, vector storage: cache, embedded and external

More information

VtRES 2013. Towards Hardware Embedded Virtualization Technology: Architectural Enhancements to an ARM SoC. ESRG Embedded Systems Research Group

VtRES 2013. Towards Hardware Embedded Virtualization Technology: Architectural Enhancements to an ARM SoC. ESRG Embedded Systems Research Group Towards Hardware Embedded Virtualization Technology: Architectural Enhancements to an ARM SoC VtRES 2013 P. Garcia, T. Gomes, F. Salgado, J. Monteiro, A. Tavares Summary 1. Current landscape in 2. Embedded

More information

Career Tracks- Information Technology Family

Career Tracks- Information Technology Family Career Tracks- Information Technology Family FUNCTIONAL AREA Applications Programming AV IT AV IT Engineering Bioinformatics Involved in the development of server/os/desktop/mobile applications and services

More information

Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design

Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design Department of Electrical and Computer Engineering Overview The VLSI Design program is part of two tracks in the department:

More information

Memory Characterization to Analyze and Predict Multimedia Performance and Power in an Application Processor

Memory Characterization to Analyze and Predict Multimedia Performance and Power in an Application Processor WHITE PAPER Memory Characterization to Analyze and Predict Multimedia Performance and Power in an Application Processor Yu Bai Staff Engineer, APSE Marvell November 2011 www.marvell.com Introduction: Nowadays,

More information

Seven Challenges of Embedded Software Development

Seven Challenges of Embedded Software Development Corporate Technology Seven Challenges of Embedded Software Development EC consultation meeting New Platforms addressing mixed criticalities Brussels, Feb. 3, 2012 Urs Gleim Siemens AG Corporate Technology

More information

A Computer Vision System on a Chip: a case study from the automotive domain

A Computer Vision System on a Chip: a case study from the automotive domain A Computer Vision System on a Chip: a case study from the automotive domain Gideon P. Stein Elchanan Rushinek Gaby Hayun Amnon Shashua Mobileye Vision Technologies Ltd. Hebrew University Jerusalem, Israel

More information

Análise de Sistemas e Gestão de Projectos

Análise de Sistemas e Gestão de Projectos Mestrado Integrado em Engenharia Electrotécnica e de Computadores Análise de Sistemas e Gestão de Projectos Américo Azevedo Gil Gonçalves João Sousa 2010/2011 Análise de Sistemas e Gestão de Projectos

More information