ACTIVE Project INFN Group V Call. Participant Institutes:! Bari, Cosenza, Genova, Firenze, Milano, Milano Bicocca, Pisa, Torino, Trento, Udine!

Size: px
Start display at page:

Download "ACTIVE Project INFN Group V Call. Participant Institutes:! Bari, Cosenza, Genova, Firenze, Milano, Milano Bicocca, Pisa, Torino, Trento, Udine!"

Transcription

1 ACTIVE Project INFN Group V Call o SharePoint: PIXEL Participant Institutes: Bari, Cosenza, Genova, Firenze, Milano, Milano Bicocca, Pisa, Torino, Trento, Udine National Coordinator: G. Darbo / INFN Genova ACTIVE Project INFN Group V Call ACTIVE INFN / Italy V July 2013

2 Pixel Roadmap LHC HL-LHC HL-LHC Pixels requirements ATLAS roadmap Pixel Size 10 20x TID/NIEL dose 6x event pile-up 2003 Future pixel driven by technology advances: Electronics: 65nm, pixels dimensions ê, rad-hard. é, thresh.ê, required chargeê Sensor: detector/material engineering, thickness ê, pixel geometry ê, slim/ active edge Hybridization: no. bump/chip é, chip area é, thickness ê Cooling: material budget ê, CO2 using µ-channels mm Technology roadmap FE-I4A 7.6 mm ACTIVE INFN / Italy ½ threshold 18.8 mm CMS Pixels for LHC & LHC Phase I: PSI46dig Tech: 250 nm Size: 100 x 150 µm2 FE-I3 20x TID dose 20x NIEL ACTIVE Project INFN Group V Call 5.3x the Active Area 10.8 mm 5x Chip Size 2.8 mm 2.0 mm V July

3 Pixel Gr.5 Call " Project name (Sigla INFN) National Coordinator: G. Darbo Acronym: ACTIVE: Atlas and Cms Towards InnoVative pixels Could also mean (our vision): Atlas and Cms Together for InnoVative pixels " Main Activities (WP): Pixel 3D: 2x10 16 n eq cm -2, small (1/3 of FE-I4 pixel size) needs thin ( µm, epitaxial or with support wafer), active edge, charge multiplication. FBK as main silicon foundry Bump-bonding: develop (and QC) BB for bumps/chip, thin ( 100µm) FE-I4 (size), Indium bumps use BB as part of the sensor test main foundry Selex (interest in a framework contract). Bump-bonding development is critical technology, as experienced in the present ATLAS IBL. Micro-channel cooling: technology developed in Italy by SuperB and NA62. It is potentially very interesting for low material budget applications (Innermost Pixel Layer). Silicon with DRIE processing (FBK) or composite material micro-channels. Study (long) µ-channel with evaporative CO 2. Look for implementation technologies towards the application in the Pixel systems of future upgrades. Modules, Irradiation & Test-beam: put together sensor and electronics by bump-bonding. Irradiation with n, p and gamma. Measure at test beam. Demonstrator of module on µ-channel cooled support. " Project target goal Develop core technologies for Pixel (Innermost Pixel Layer) upgrades at HL-LHC: Use already leading expertise in INFN and push to a new step forward Activate stronger links and synergy between ATLAS/CMS as a seed for building the new Pixel detectors based on technological leadership Use existing industrial partnership (national and international) and develop to a further level Work in an international framework: RD groups, ATLAS/CMS, Industry. Use R&D links across technologies for a global optimization of the Pixels detector V July

4 Sezioni, WP & Costs Work packages and Coordinators Name Coordinator(s) Sez.2Coord. WP1 Sensor*design,*production*and*test Gian5Franco*Dalla*Betta TN WP2 Bump5bonding*5*process*qualification Gianluca*Alimonti MI WP3 Micro5channel*cooling Filippo*Bosi PI WP4 Module*assembly*&*Test Claudia*Gemme GE WP5 Irradiation*&*Test*beam Luigi*Moroni MIB WP6 Project*&*Resource*Coordination Project(Coordinator Sezioni:/:Partecipanti:&:FTE BA CS FI GE MI MIB PI TN TO UD Total RIC/TEC FTE V July

5 Partecipanti e Coordinatori Locali No. Sezione Nome Cognome Ruolo %0FTE 1 BA Donato) Creanza PA 30% 2 BA Mauro de)palma)) PO 30% 3 BA Luigi) Fiore 1=RIC 20% 4 BA Salvatore My RIC 30% 5 CS Giuseppe) Cocorullo PO) 10% 6 CS Felice Crupi PA 10% 7 CS Anna Mastroberardino RU) 20% 8 CS Francesco) Pellegrino Tecn.Cat.C 30% 9 CS Antonio) Policicchio Assegnista) 10% 10 CS Daniela Salvatore Assegnista) 20% 11 CS Giancarlo) Susinno PE) 30% 12 FI Raffaello D Alessandro PA 10% 13 FI Ettore Focardi PA 30% 14 FI Marco Meschini) DR 30% 15 FI Simone Paoletti RIC 30% 16 GE Giovanni Darbo DR 40% 17 GE Andrea Favareto Assegnista) 20% 18 GE Claudia Gemme RIC 10% 19 GE Elisa Guido Assegnista) 20% 20 GE Leonardo Rossi DR 20% 21 MI Gianluca Alimonti RIC 40% 22 MI Attilio Andreazza PA 30% 23 MI Tommaso Lari Ric 20% 24 MI Chiara Meroni DR 20% 25 MIB Mauro Dinardo RU 20% 26 MIB Simone Gennai RIC 20% 27 MIB Sandra Malvezzi 1=RIC 20% 28 MIB Dario Menasce 1=RIC 20% 29 MIB Luigi Moroni DR 20% 30 PI Konstantin Androsov Dott 20% 31 PI Tommaso Boccali RIC 20% 32 PI Filippo Bosi TEC 30% 33 PI Maria)Agnese Ciocci RU 5% 34 PI Roberto Dell Orso 1=RIC 25% 35 PI Alberto Messineo RU 25% 36 PI Andrea Moggi TC 20% 37 PI Piero)Giorgio Verdini 1=RIC 20% No. Sezione Nome Cognome Ruolo %0FTE 38 TN Gian)Franco Dalla0Betta0 PA0 30% 39 TN Giorgio Fontana TEC 20% 40 TN Leo Huf0Campos0Braga0 Dott.0 50% 41 TN Lucio0 Pancheri RU 40% 42 TN Ekaterina0 Panina Dott.0 50% 43 TN Giovanni0 Verzellesi PO0 30% 44 TN Hesong Xu Dott.0 50% 45 TO Marco Costa0 PA 30% 46 TO Margherita Obertino Assegnista0 20% 47 TO Marta Ruspa0 RU 20% 48 TO Ada Solano PA 30% 49 UD Marina Cobal PA 20% 50 UD Carlo del0papa PO 50% 51 UD Mario0Paolo Giordani RU 30% Sezione BA CS FI GE MI MIB PI TN TO UD RESPONSABILI5LOCALI Responsabile Donato(Creanza Anna(Mastroberardino Marco(Meschini Giovanni(Darbo((Resp.(Naz.) Gianluca(Alimonti Mauro(Dinardo Alberto(Messineo GianEFranco(Dalla(Betta Ada(Solano Mario(Paolo(Giordani V July

6 ACTIVE TECHNOLOGY IN PILLS V July

7 3D Sensors Ref: (courtesy) G.F. Dalla Be\a Process and design aspects Fully double sided process No support wafer (substrate bias from the back side) Empty columns, with 11 µm diameter and 230 um thickness Slim edge (200 µm for IBL, but also tested down to 75 µm for AFP) Temporary metal for I- V tests Main results Tested with FE- I3, FE- I4 and CMS ROCs (laboratory and beam test) Qualified for ATLAS IBL: >98% efficiency for 15º tracks at 160 V a]er 5x10 15 n eq /cm 2 IBL producaon at FBK with ~50% yield Deep understanding of sensor behavior References (main) C. Da Vià et al., 3D ac/ve edge silicon sensors: Device processing, yield and QA for the ATLAS- IBL produc/on, NIMA 694 (2012) 321. IBL Collabora/on, Prototype ATLAS IBL modules using the FE- I4A front- end readout chip, JINST 7 (2012) C10006 E. Alagoz, Simula/on and laboratory test results of 3D CMS pixel detectors for HL- LHC, JINST 7 (2012) P08023 V July

8 Critical Aspects Future 3D Generation Batch IBL Produc8on Summary of 3D Sensors at FBK Tested Wafers IBL 3D Sensor Wafer (FBK) Selected Wafers Number of Sensors Tiles Relaavely low intrinsic breakdown voltage (p- spray related, well understood) Might be an issue for post irradiaaon performance High sensiavity to process defect (a single defect kills an enare sensor) - High yield variability Address current issues in the new 3D Genera/on Number of Good Sensor Tiles Ref: (courtesy) G.F. Dalla Be\a Ref.: G. Giacomini, et al., IEEE TNS 60(3) (2013) 2357 Yield on Selected Wafers (%) 3D ATLAS % 3D ATLAS % 3D ATLAS % 3D ATLAS % V July

9 3D Sensor Future Developments " Smaller pixel size in future ROC s (e.g., 150 x 25 mm2) & smaller inter- electrode distance for radia/on hardness: Both lead to higher column density and bump density Narrower electrodes desirable for higher geometrical efficiency and lower capacitance This calls for thinner substrates given a constant column aspect raao with DRIE Thinner substrates also help with electrode (at least paraal) filling with poly- Si to obtain some efficiency (also using oxygen- free doping gas) " FBK produc/on line upgrade from 4 to 6 wafers completed Acavity restarted in Spring 2013 with internal test batches 6 allows for higher producaon volumes (>2x area on wafer) and lower costs per sensor, but thinner acave substrate may require to invesagate possible alternaaves: epitaxial or wafer bonding to of thinned down wafer on a support one. Sensor thickness: target for signal (at given threshold )? 150 µm: may be OK with passive sensor - below 100 µm: calls for charge mulaplicaaon Ref: (courtesy) G.F. Dalla Be\a V July

10 Bump-Bonding " Most diffused bump-bonding techniques: Solder bump (SnAG) require high temp reflow (T=250ºC) Becomes critical on large thin/chip (thermal bow of not compensated CTE mismatch between metal (interconnects) and silicon (chip bulk) IZM addressed with provisional gluing of thinned electronic wafer on glass substrate (handling wafer) IBL experience on module production shows low yield and tuning difficulties. Minimum thickness (IBL) of electronic chip is 150µm. Module assembly and Measurements done in Genova IBL Module with Indium bumps and 100µm FE-I4 Indium bumps (Indium). Low temperature process (T=90ºC) Criticality is planarity and applied force. Process well qualified for ATLAS pixel modules (>1000 made): 180µm thickness 5x smaller chips. Limited positive experience with Selex and 100µm and 200µm thin FE-I4 chips. - Develop to higher bump density ( bumps) and complete qualification on thin ( 100µm) FE-I4 modules - Test new 3D sensors with Indium bump Ref.: G Alimon/ et al 2013 JINST 8 P01024 doi: / /8/01/p01024 V July

11 Test Bench for µ-channel Cooling µ- channel cooling pioneered at Pisa for SuperB and VPIX Thermo- fluid- dynamic lab exists (Pisa) for cooling tests and thermal characteriza/on of low mass support structure based on micro- channel technology Test bench and chiller for forced convec/on of liquid monophase upgrade to CO2 evapora/ve (ATLAS & CMS) Some exper/se on bi- phase cooling available in other groups: GE, MI. The instrumentaaon allows to measure/store the values of temp/pressure/flow in the thermal exchange. DAQ HW system (N.24 probe for temperatures, pressure and flow ). Chiller dimensioned for a cooling power > 1/2 kw primary and secondary cooling circuit Ref: F. Bosi, M. Massa, SuperB Workshop 4 7 April 2011, INFN- LNF - (courtesy) A. Messineo V July

12 Silicon µ-channel Cooling Silicon µ-channels Modules produced at FBK using same technology as 3D sensors anisotropic etching (DRIE). 150 µm 500 µm From a 4 wafer obtained no.5 silicon modules of 12.8 width mm x 60 mm length x 500 µm thick with N.61 µ-channels to perform cooling tests. 4.2 µm Activity in the SuperB and VIPIX projects. 7-8 µm Silicon oxide sealing Ref: F. Bosi, M. Massa, SuperB Workshop 4 7 April 2011, INFN- LNF (courtesy) A. Messineo ACTIVE INFN / Italy ACTIVE Project INFN Group V Call V July

13 Composite µ-channels 700 µm Peek pipe Dh=300 µm The single base µ- channel unit A square CF micro- tube with an internal peek tube 50 µm thick used to avoid moisture on carbon fiber 550 µm 550 µm Peek pipe Dh=200 µm 700 µm Carbon Fiber Pultrusion 700 µm 12.8 mm Support Cross Secaon Full micro- channel module The total radiaaon length (*) of this support is 0.28 %X 0 Net micro- channel module Same dimensions of full micro- channel but vacancies of tubes in the structure. The total radiaaon length (*) is 0.15 %X 0 (*) : Material of the support structure: ( All C.F. material + peek tube + Water) Ref: F. Bosi, M. Massa, SuperB Workshop 4 7 April 2011, INFN- LNF - (courtesy) A. Messineo V July

14 Call Organization SharePoint: cern.ch/infn-pixelrd Kick-off meeting WP WBS Group responsibility associated through WBS - Workpackages Breakdown Structure: tasks/deliverables. V July

EU Funds and CSN5 Project

EU Funds and CSN5 Project o EU funds: AIDA- 2 CSN5 project Indico agenda: EU Funds and CSN5 Project Nanni Genova, 19 June 2014 https://agenda.infn.it/conferencedisplay.py?confid=8261 HV/HR%&%CMOS% HV-CMOS: Hybridization G. Darbo

More information

Silicon Sensors for CMS Tracker at High-Luminosity Environment - Challenges in particle detection -

Silicon Sensors for CMS Tracker at High-Luminosity Environment - Challenges in particle detection - timo.peltola@helsinki.fi Finnish Society for Natural Philosophy, Helsinki, 17 February 2015 Silicon Sensors for CMS Tracker at High-Luminosity Environment - Challenges in particle detection - Timo Peltola

More information

CMS Tracker sensors Upgrade

CMS Tracker sensors Upgrade CMS Tracker sensors Upgrade R&D proposals Summary CMS-Tracker Italia A.Messineo SLHC experimental issues Tracker systems at SLHC will experience: Heavy Radiation damage High Local occupancy Harsh experimental

More information

AC coupled pitch adapters for silicon strip detectors

AC coupled pitch adapters for silicon strip detectors AC coupled pitch adapters for silicon strip detectors J. Härkönen1), E. Tuovinen1), P. Luukka1), T. Mäenpää1), E. Tuovinen1), E. Tuominen1), Y. Gotra2), L. Spiegel2) Helsinki Institute of Physics, Finland

More information

Performance of Silicon N-in-P Pixel Detectors Irradiated up to 5 1015 neq /cm2 for Future ATLAS Upgrades

Performance of Silicon N-in-P Pixel Detectors Irradiated up to 5 1015 neq /cm2 for Future ATLAS Upgrades Performance of Silicon N-in-P Pixel Detectors Irradiated up to 5 1 neq /cm for Future ATLAS Upgrades Philipp Weigell1 Ch. A. La Rosa3, A. Macchiolo1, R. Nisius1, H. Pernegger3, R.H. Richter Gallrapp3,

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

THE CMS PIXEL DETECTOR: FROM PRODUCTION TO COMMISSIONING

THE CMS PIXEL DETECTOR: FROM PRODUCTION TO COMMISSIONING THE CMS PIXEL DETECTOR: FROM PRODUCTION TO COMMISSIONING VINCENZO CHIOCHIA On behalf of the CMS Collaboration University of Zürich, Physik-Institut, Winterthurerstr. 190 Zürich, CH-8057, Switzerland The

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

Precision Tracking Test Beams at the DESY-II Synchrotron. Simon Spannagel DPG 2014 T88.7 Mainz, 26.3.2014

Precision Tracking Test Beams at the DESY-II Synchrotron. Simon Spannagel DPG 2014 T88.7 Mainz, 26.3.2014 Precision Tracking Test Beams at the DESY-II Synchrotron. Simon Spannagel DPG 2014 T88.7 Mainz, 26.3.2014 Overview > Test Beams at DESY-II > Tracking with the DATURA Telescope Telescope Hardware Software

More information

CMS Tracker module / hybrid tests and DAQ development for the HL-LHC

CMS Tracker module / hybrid tests and DAQ development for the HL-LHC CMS Tracker module / hybrid tests and DAQ development for the HL-LHC S. Mersi, G. Auzinger georg.auzinger@cern.ch 1 Outline Reminder: the Ph2 CMS Tracker upgrade pt Modules: principle, elements, electronics

More information

Operation and Performance of the CMS Silicon Tracker

Operation and Performance of the CMS Silicon Tracker Operation and Performance of the CMS Silicon Tracker Manfred Krammer 1 on behalf of the CMS Tracker Collaboration Institute of High Energy Physics, Austrian Academy of Sciences, Vienna, Austria Abstract.

More information

Track Trigger and Modules For the HLT

Track Trigger and Modules For the HLT CMS L1 Track Trigger for SLHC Anders Ryd for the CMS Track Trigger Task Force Vertex 2009 Sept. 13-18, 2009 L=1035 cm-2s-1 Outline: SLHC trigger challenge Tracking triggers Track trigger modules Simulation

More information

Flex Circuits for the ATLAS Pixel Detector

Flex Circuits for the ATLAS Pixel Detector Flex Circuits for the ATLAS Pixel Detector P. Skubic University of Oklahoma Outline ATLAS pixel detector ATLAS prototype Flex hybrid designs Performance simulations Performance measurements Wire bonding

More information

A.Besson, IPHC-Strasbourg

A.Besson, IPHC-Strasbourg DIGMAPS: a standalone tool to study digitization an overview of a digitizer strategy for CMOS/MAPS sensors A.Besson, IPHC-Strasbourg thanks to A.Geromitsos and J.Baudot Motivations for a CMOS sensor digitizer,

More information

CMS Tracker Upgrade for Super-LHC. Fabrizio Palla INFN Pisa

CMS Tracker Upgrade for Super-LHC. Fabrizio Palla INFN Pisa CMS Tracker Upgrade for Super-LHC Fabrizio Palla INFN Pisa 1 Outline CMS workshops on SLHC Current layout Radiation issues Pixel system Strip system Electronic issues Tracker Trigger DISCLAIMER the comments

More information

Optical Link ASICs for LHC Upgrades

Optical Link ASICs for LHC Upgrades Optical Link ASICs for LHC Upgrades K.K. Gan, H.P. Kagan, R.D. Kass, J. Moore, S. Smith The Ohio State University July 30, 2009 K.K. Gan DPF2009 1 Outline Introduction VCSEL driver chip PIN receiver/decoder

More information

INFN Rome Silicon Microstrip Detector for SBS F. De Persio S. Kiprich - F. Meddi G.M. Urciuoli

INFN Rome Silicon Microstrip Detector for SBS F. De Persio S. Kiprich - F. Meddi G.M. Urciuoli INFN Rome Silicon Microstrip Detector for SBS F. De Persio S. Kiprich - F. Meddi G.M. Urciuoli 1 Summary 1) About us: Presentation of the JLAB12 INFN Roma group. 2) Silicon Detector Advantages on SBS:

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Technology Developments Towars Silicon Photonics Integration

Technology Developments Towars Silicon Photonics Integration Technology Developments Towars Silicon Photonics Integration Marco Romagnoli Advanced Technologies for Integrated Photonics, CNIT Venezia - November 23 th, 2012 Medium short reach interconnection Example:

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

Calibration of Dallas sensors

Calibration of Dallas sensors Calibration of Dallas sensors Mariusz Sapinski INFN Sezione di Roma1 Roma, Italy (April 2006) 1. Objectives The goal of this work is to perform a calibration of Dallas sensors. Nine Dallas sensors are

More information

Thin Is In, But Not Too Thin!

Thin Is In, But Not Too Thin! Thin Is In, But Not Too Thin! K.V. Ravi Crystal Solar, Inc. Abstract The trade-off between thick (~170 microns) silicon-based PV and thin (a few microns) film non-silicon and amorphous silicon PV is addressed

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Large Hadron Collider am CERN

Large Hadron Collider am CERN The CMS Silicon Tracker Lutz Feld 1. Physikalisches Institut, RWTH Aachen GSI Darmstadt, 18. 4. 2007 Large Hadron Collider am CERN proton proton quarks & gluons circumference 27 km 1200 superconducting

More information

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS Prof. Dr. João Antonio Martino Professor Titular Departamento de Engenharia de Sistemas Eletrônicos Escola Politécnica da Universidade

More information

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016 Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms Christophe Maleville Substrate readiness 3 lenses view SOI Consortium C1 - Restricted Conference Tokyo 2016

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

R&D activity in Bari for the ALICE Inner Tracking System upgrade

R&D activity in Bari for the ALICE Inner Tracking System upgrade R&D activity in Bari for the ALICE Inner Tracking System upgrade Referee Meeting, 24 Giugno 2011 Vito Manzari INFN Bari (vito.manzari@cern.ch) ALICE Pixel Material Budget Ø Contributions to one current

More information

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie Recent developments in high bandwidth optical interconnects Brian Corbett Outline Introduction to photonics for interconnections Polymeric waveguides and the Firefly project Silicon on insulator (SOI)

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

Welcome & Introduction

Welcome & Introduction Welcome & Introduction Accelerating the next technology revolution Sitaram Arkalgud, PhD Director Interconnect Temporary Bond Workshop SEMICON West July 11, 2011 San Francisco CA Copyright 2008 SEMATECH,

More information

Volumes. Goal: Drive optical to high volumes and low costs

Volumes. Goal: Drive optical to high volumes and low costs First Electrically Pumped Hybrid Silicon Laser Sept 18 th 2006 The information in this presentation is under embargo until 9/18/06 10:00 AM PST 1 Agenda Dr. Mario Paniccia Director, Photonics Technology

More information

The different type of photovoltaic systems and their applications

The different type of photovoltaic systems and their applications The different type of photovoltaic systems and their applications Solar radiation Solar radiation: electromagnetic energy emitted by the fusion of hydrogen content in the sun. - On the solar surface to

More information

Evolution and Prospect of Single-Photon

Evolution and Prospect of Single-Photon S. Cova, M. Ghioni, A. Lotito, F. Zappa Evolution and Prospect of Single-Photon Avalanche Diodes and Quenching Circuits Politecnico di Milano, Dip. Elettronica e Informazione, Milano, Italy Outline Introduction

More information

IBS - Ion Beam Services

IBS - Ion Beam Services IBS - Ion Beam Services Profile Technologies Devices & sensor fabricat ion Participation to R&D programs Researched partnership Présentation activité composant 1 Profile : Products and services Product

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Click to edit Master title style. The Prospects for Cost-Competitive Photovoltaics: From Nanoscale Science to Macroscale Manufacturing

Click to edit Master title style. The Prospects for Cost-Competitive Photovoltaics: From Nanoscale Science to Macroscale Manufacturing 1 The Prospects for Cost-Competitive Photovoltaics: From Nanoscale Science to Macroscale Manufacturing Jeffrey S. Nelson, Manager Nanostructure Physics Department Center for Integrated Nanotechnologies

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Development of certified reference material of thin film for thermal diffusivity

Development of certified reference material of thin film for thermal diffusivity Development of certified reference material of thin film for thermal diffusivity Takashi Yagi, Thermophysical properties section, NMIJ/AIST Joshua Martin MML, National Institute of Standards and Technology

More information

The LHCb Tracking System. Jeroen van Hunen

The LHCb Tracking System. Jeroen van Hunen The LHCb Tracking System Jeroen van Hunen The LHCb Experiment LHCb at Point 8 : a lot of activity! LHCb : a B-physics experiment that is being constructed for : Precision measurements of the CPviolation

More information

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien ERÖFFNUNG DES INNOVATIONSZENTRUMS ADAPTSYS Dual Integration - Verschmelzung von Wafer und Panel Level Technologien Dr. Michael Töpper BDT Introduction Introduction Why do we need such large machines to

More information

Proton tracking for medical imaging and dosimetry

Proton tracking for medical imaging and dosimetry Proton tracking for medical imaging and dosimetry J.Taylor, P.Allport, G.Casse For the PRaVDA Consortium 1 Background and motivation - What is the PRaVDA experiment? - Why are we using Monte Carlo? GEANT4

More information

Excerpt Direct Bonded Copper

Excerpt Direct Bonded Copper xcerpt irect Bonded Copper Presented by ouglas C. Hopkins, Ph.. 312 Bonner Hall University at Buffalo Buffalo, Y 14620-1900 607-729-9949, fax: 607-729-7129 Authors thank Curamik lectronics A member of

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

How to measure absolute pressure using piezoresistive sensing elements

How to measure absolute pressure using piezoresistive sensing elements In sensor technology several different methods are used to measure pressure. It is usually differentiated between the measurement of relative, differential, and absolute pressure. The following article

More information

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages by Lim Kok Hwa and Andy Chee STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 kokhwa.lim@statschippac.com; kenghwee.chee@statschippac.com

More information

Focused Ion beam nanopatterning: potential application in photovoltaics

Focused Ion beam nanopatterning: potential application in photovoltaics Focused Ion beam nanopatterning: potential application in photovoltaics Research Infrastructure: Location: FIB-Focused Ion Beam ENEA Portici (Italy) Date March, 26 2013 Speakers: Vera La Ferrara, ENEA

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

(Amplifying) Photo Detectors: Avalanche Photodiodes Silicon Photomultiplier

(Amplifying) Photo Detectors: Avalanche Photodiodes Silicon Photomultiplier (Amplifying) Photo Detectors: Avalanche Photodiodes Silicon Photomultiplier (no PiN and pinned Diodes) Peter Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1 Overview Reminder: Classical Photomultiplier

More information

The Internet of Everything or Sensors Everywhere

The Internet of Everything or Sensors Everywhere The Internet of Everything or s Everywhere 2015 This document and the information included herein are proprietary of the China Wafer Level CSP Co., Ltd. Disclosure or reproduction by any media, inclusive

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

APPLICATION OF X-RAY COMPUTED TOMOGRAPHY IN SILICON SOLAR CELLS

APPLICATION OF X-RAY COMPUTED TOMOGRAPHY IN SILICON SOLAR CELLS APPLICATION OF X-RAY COMPUTED TOMOGRAPHY IN SILICON SOLAR CELLS V.A. Popovich 1, W. Verwaal 2, M. Janssen 1, I. J. Bennett 3, I.M.Richardson 1, 1. Delft University of Technology, Department of Materials

More information

For the modifications listed below, the Qualification Approval tests in IEC 61215 and IEC 61646, shall be used as a guideline by the assessor:

For the modifications listed below, the Qualification Approval tests in IEC 61215 and IEC 61646, shall be used as a guideline by the assessor: Product or Process Modifications Requiring Limited CBTL Retesting to Maintain Certification This document sets forth a uniform approach to maintain the certification of products that have, or will, undergo

More information

Electron-Muon Ranger (EMR)

Electron-Muon Ranger (EMR) Electron-Muon Ranger (EMR) Status of EMR Project R.Asfandiyarov 1, P.Béné 1, R.Bloch 1, A.Blondel 1, D.Bolognini 3 F.Cadoux 1, S.Débieux 1, J-S.Graulich 1, C.Husi 1, D.Lietti 3, F.Masciocchi 1, L.Nicola

More information

L innovazione tecnologica dell industria italiana verso la visione europea del prossimo futuro

L innovazione tecnologica dell industria italiana verso la visione europea del prossimo futuro L innovazione tecnologica dell industria italiana verso la visione europea del prossimo futuro Mercoledì 2 Aprile 2014 Antonio D Errico, Francesco Testa, Roberto Sabella, Ericsson Silicon Photonics Opportunities

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

X- and Gamma Ray Imaging Systems based on CdTe-CMOS Detector Technology

X- and Gamma Ray Imaging Systems based on CdTe-CMOS Detector Technology X- and Gamma Ray Imaging Systems based on dte-mos Detector Technology Konstantinos Spartiotis, Ray Durrant, nssi Leppänen, Henrik Lohman, Olli Männistö, Tuomas Pantsar, Jouni Pyyhtiä, Tom Schulman, Oy

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Information about the T9 beam line and experimental facilities

Information about the T9 beam line and experimental facilities Information about the T9 beam line and experimental facilities The incoming proton beam from the PS accelerator impinges on the North target and thus produces the particles for the T9 beam line. The collisions

More information

Chapter 5. Second Edition ( 2001 McGraw-Hill) 5.6 Doped GaAs. Solution

Chapter 5. Second Edition ( 2001 McGraw-Hill) 5.6 Doped GaAs. Solution Chapter 5 5.6 Doped GaAs Consider the GaAs crystal at 300 K. a. Calculate the intrinsic conductivity and resistivity. Second Edition ( 2001 McGraw-Hill) b. In a sample containing only 10 15 cm -3 ionized

More information

Objectives 200 CHAPTER 4 RESISTANCE

Objectives 200 CHAPTER 4 RESISTANCE Objectives Explain the differences among conductors, insulators, and semiconductors. Define electrical resistance. Solve problems using resistance, voltage, and current. Describe a material that obeys

More information

The Alice Silicon Pixel Detector Readout System Moving towards system integration. (For the ALICE collaboration)

The Alice Silicon Pixel Detector Readout System Moving towards system integration. (For the ALICE collaboration) The Alice Silicon Pixel Detector Readout System Moving towards system integration R.Dinapoli 1, 2, G.Anelli 2, M.Burns 2, M.Campbell 2, P.Chochula 2, A.Kluge 2, M.Morel 2, P. Nilsson 2, P.Riedler 2, G.Stefanini

More information

Development of High-Speed High-Precision Cooling Plate

Development of High-Speed High-Precision Cooling Plate Hironori Akiba Satoshi Fukuhara Ken-ichi Bandou Hidetoshi Fukuda As the thinning of semiconductor device progresses more remarkably than before, uniformity within silicon wafer comes to be strongly required

More information

Computer Simulations of Edge Effects in a Small-Area Mesa N-P Junction Diode

Computer Simulations of Edge Effects in a Small-Area Mesa N-P Junction Diode Computer Simulations of Edge Effects in a Small-Area Mesa N-P Junction Diode Preprint Conference Paper NREL/CP-520-45002 February 2009 J. Appel and B. Sopori National Renewable Energy Laboratory N.M. Ravindra

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

Microwave absorbing tiles:

Microwave absorbing tiles: On the basis of the results obtained from the first project activities, the grinding conditions on a larger scale were determined. As regards the sintering, an adjustment has been made to the roller furnaces

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

Experimental study of beam hardening artefacts in photon counting breast computed tomography

Experimental study of beam hardening artefacts in photon counting breast computed tomography Experimental study of beam hardening artefacts in photon counting breast computed tomography M.G. Bisogni a, A. Del Guerra a,n. Lanconelli b, A. Lauria c, G. Mettivier c, M.C. Montesi c, D. Panetta a,

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Silicon Seminar. Optolinks and Off Detector Electronics in ATLAS Pixel Detector

Silicon Seminar. Optolinks and Off Detector Electronics in ATLAS Pixel Detector Silicon Seminar Optolinks and Off Detector Electronics in ATLAS Pixel Detector Overview Requirements The architecture of the optical links for the ATLAS pixel detector ROD BOC Optoboard Requirements of

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Laser beam sintering of coatings and structures

Laser beam sintering of coatings and structures Laser beam sintering of coatings and structures Anne- Maria Reinecke, Peter Regenfuß, Maren Nieher, Sascha Klötzer, Robby Ebert, Horst Exner Laserinstitut Mittelsachsen e.v. an der Hochschule Mittweida,

More information

The Focused Ion Beam Scanning Electron Microscope: A tool for sample preparation, two and three dimensional imaging. Jacob R.

The Focused Ion Beam Scanning Electron Microscope: A tool for sample preparation, two and three dimensional imaging. Jacob R. The Focused Ion Beam Scanning Electron Microscope: A tool for sample preparation, two and three dimensional imaging Jacob R. Bowen Contents Components of a FIB-SEM Ion interactions Deposition & patterns

More information

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Kenji MAWATARI, Koich SAMESHIMA, Mitsuyoshi MIYAI, Shinya MATSUDA Abstract We developed a new inkjet head by applying MEMS

More information

S Tile : a new player in the photovoltaic market in Europe. Press file

S Tile : a new player in the photovoltaic market in Europe. Press file S Tile : a new player in the photovoltaic market in Europe Press file Feb. 2013 Page 1 sur 11 SUMMARY The company S Tile has been created in 2007 in Poitiers, to develop a new process of solar cell manufacturing.

More information

An option for the SHiP Muon Detector: Scintillator bars with WLS fibers and SiPMs readout

An option for the SHiP Muon Detector: Scintillator bars with WLS fibers and SiPMs readout An option for the SHiP Muon Detector: Scintillator bars with WLS fibers and SiPMs readout M. Anelli, W. Baldini, P. Ciambrone, M. Dallavalle, F. Fabbri, G. Lanfranchi, A. Montanari INFN-LNF, INFN-Ferrara,

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

BB-18 Black Body High Vacuum System Technical Description

BB-18 Black Body High Vacuum System Technical Description BB-18 Black Body High Vacuum System Technical Description The BB-18 Black Body is versatile and is programmed for use as a fixed cold target at 80 K or variable target, at 80 K- 350 K no extra cost. The

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Photovoltaic Power: Science and Technology Fundamentals

Photovoltaic Power: Science and Technology Fundamentals Photovoltaic Power: Science and Technology Fundamentals Bob Clark-Phelps, Ph.D. Evergreen Solar, Inc. Renewable Energy Seminar, Nov. 2, 2006 Photovoltaic Principle Energy Conduction Band electron Energy

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

How to Design and Build a Building Network

How to Design and Build a Building Network Logo azienda/università BC1 Le tecnologie Elettroniche e Informatiche al servizio della gestione energetica Enrico Sangiorgi Workshop Diapositiva 1 BC1 inserire i propri riferimenti Nome e Cognome relatore

More information

MEMS devices application based testing

MEMS devices application based testing MEMS devices application based testing CEEES Seminar 18-10-2012 RDM Campus Rotterdam NL by Kees Revenberg MASER Engineering Enschede NL Outline Introduction MEMS classification Sensing & Actuating Manufacturing

More information

Stefano Colafranceschi

Stefano Colafranceschi Stefano Colafranceschi CERN, European Organization for Nuclear Research Physics Department (PH) Route de Meyrin Tel: +41 76 487 2881 CH-1211 Geneva Fax: +41 22 76 78940 Switzerland email: stefano.colafranceschi@cern.ch

More information

High. Thickness. epitaxial Silicon. Carbide Detectors. INFN - Gruppo V 2009

High. Thickness. epitaxial Silicon. Carbide Detectors. INFN - Gruppo V 2009 INFN - Gruppo V Proposta di nuovo esperimento per il triennio 2007-2009 2009 High Thickness epitaxial Silicon Carbide Detectors Sezioni INFN di Milano - Bologna - Catania Outline A general introduction

More information

DESIGN GUIDELINES FOR LTCC

DESIGN GUIDELINES FOR LTCC DESIGN GUIDELINES FOR LTCC HERALOCK HL2000 MATERIALS SYSTEM Preliminary Guideline Release 1.0 CONTENTS 1. INTRODUCTION 1.1. GLOSSARY OF TERMS 1.2. LTCC PROCESS FLOW DIAGRAM 1.3. UNITS OF MEASURE 2. PROCESSING

More information

arxiv:1402.0675v1 [physics.ins-det] 4 Feb 2014

arxiv:1402.0675v1 [physics.ins-det] 4 Feb 2014 Preprint typeset in JINST style - HYPER VERSION Operation and performance of the CMS tracker arxiv:1402.0675v1 [physics.ins-det] 4 Feb 2014 Viktor Veszpremi for the CMS Collaboration a a Wigner Research

More information

Silicon Lab Bonn. Physikalisches Institut Universität Bonn. DEPFET Test System Test Beam @ DESY

Silicon Lab Bonn. Physikalisches Institut Universität Bonn. DEPFET Test System Test Beam @ DESY Silicon Lab Bonn Physikalisches Institut Universität Bonn DEPFET Test System Test Beam @ DESY H. Krüger, EUDET Brainstorming, 3/4.11.2005 1 SI LAB DEPFET Prototype System DEPFET sensors 64 x 128 pixels,

More information

MOS (metal-oxidesemiconductor) 李 2003/12/19

MOS (metal-oxidesemiconductor) 李 2003/12/19 MOS (metal-oxidesemiconductor) 李 2003/12/19 Outline Structure Ideal MOS The surface depletion region Ideal MOS curves The SiO 2 -Si MOS diode (real case) Structure A basic MOS consisting of three layers.

More information

Impact of Materials Prices on Cost of PV Manufacture Part I (Crystalline Silicon)

Impact of Materials Prices on Cost of PV Manufacture Part I (Crystalline Silicon) Impact of Materials Prices on Cost of PV Manufacture Part I (Crystalline Silicon) Nigel Mason SMEET II Workshop, London 27 Feb 2013 content Brief introduction to Solar PV Technologies Part I - Crystalline

More information

Good Boards = Results

Good Boards = Results Section 2: Printed Circuit Board Fabrication & Solderability Good Boards = Results Board fabrication is one aspect of the electronics production industry that SMT assembly engineers often know little about.

More information

A LAMINAR FLOW ELEMENT WITH A LINEAR PRESSURE DROP VERSUS VOLUMETRIC FLOW. 1998 ASME Fluids Engineering Division Summer Meeting

A LAMINAR FLOW ELEMENT WITH A LINEAR PRESSURE DROP VERSUS VOLUMETRIC FLOW. 1998 ASME Fluids Engineering Division Summer Meeting TELEDYNE HASTINGS TECHNICAL PAPERS INSTRUMENTS A LAMINAR FLOW ELEMENT WITH A LINEAR PRESSURE DROP VERSUS VOLUMETRIC FLOW Proceedings of FEDSM 98: June -5, 998, Washington, DC FEDSM98 49 ABSTRACT The pressure

More information

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014 Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014 Introduction Following our previous lab exercises, you now have the skills and understanding to control

More information

A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages

A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages Bernd K Appelt Director WW Business Development April 24, 2012 Table of Content Definitions Wafer Level

More information

Projects and R&D activities

Projects and R&D activities Projects and R&D activities J.M. Jimenez On behalf of the Vacuum, Surface and Coatings Group (VSC) Vacuum, Surface and Coatings group* Mandate Design, construction, operation, maintenance and upgrade of

More information