High-temperature CVD processes for crystalline silicon thin-film and wafer solar cells

Size: px
Start display at page:

Download "High-temperature CVD processes for crystalline silicon thin-film and wafer solar cells"

Transcription

1 High-temperature CVD processes for crystalline silicon thin-film and wafer solar cells Dissertation zur Erlangung des akademischen Grades Doktor der Naturwissenschaften (Dr. rer. nat.) an der Universität Konstanz Fachbereich Physik vorgelegt von Evelyn Karin Schmich Fraunhofer Institut für Solare Energiesysteme Freiburg 2008

2 ii Referenten: Prof. Dr. Gerhard Willeke Prof. Dr. Paul Leiderer Tag der mündlichen Prüfung:

3 iii Table of contents 1 Introduction 1 2 The concepts of crystalline silicon thin-film solar cells Introduction Advantages of crystalline silicon thin-film solar cells Low-temperature approach High-temperature approach Epitaxial wafer-equivalents Zone-melted crystalline films Transfer techniques Summary Silicon deposition by Chemical Vapour Deposition (CVD) Silicon deposition techniques Principle of thermal atmospheric pressure CVD Reaction kinetics for Trichlorosilane and Silicontetrachloride Growth rate Chemical yield Dopant incorporation Deposition concept and reactors at Fraunhofer ISE Deposition principle RTCVD RTCVD ConCVD Process control Process sequence Doping Layer thickness homogeneity Epitaxial quality Summary... 31

4 iv 4 Optimisation of crystalline silicon thin-film solar cells Basic principles Simulation tool High-efficiency solar cell process Screen-printing solar cell process Optimisation of the substrates Pre-deposition cleaning Block position of off-spec cast mc Gettering of off-spec cast mc substrates Optimisation of the epitaxial layer Epitaxial BSF Base lifetime Base thickness Base doping level Graded base profile Precursor Summary Epitaxy of emitters Introduction Advantages of emitter deposition in photovoltaics Limitations of the deposition process and reactor p-type emitters on n-type wafers Approach and solar cell process First results Two-layer emitters Further improvements n-type emitters on p-type wafers Phosphine flow during cooling Solar cells with texturing n-type epitaxial emitters for csitf solar cells with evaporated contacts Emitter treatments after deposition Improved two-layer emitters Simulation of an optimised emitter Recombination in epitaxial emitters... 82

5 v Implementation of texture n-type epitaxial emitters for csitf solar cells with screen-printed... contacts Design of the doping profile Contact formation Solar cells Alternative emitter structures Summary HCl gas etching of crystalline silicon Etch mechanism Surface kinetics Etch rate Surface morphology Optical confinement by surface texturing Optical properties Electrical properties Optical confinement by porous intermediate layers Functional principle of porous silicon Creation and reorganisation of pores Epitaxial layer quality Solar cells Gettering effect of HCl etching HCl gettering of multicrystalline wafers Experimental method Variation of temperature and HCl concentration Dependence on time HCl gettering and epitaxy on metallurgical silicon substrates Impurity concentrations Microscopic analysis of the substrate gettering Epitaxial growth Solar cells Summary Summary 137

6 vi 8 Outlook 141 Deutsche Zusammenfassung 143 Appendix A Solar cell fundamentals 147 Appendix A.1 I-V characteristics Appendix A.2 Recombination mechanisms Appendix B Measurements methods 150 Appendix B.1 Spreading resistance profiling (SRP) Appendix B.2 Secondary ion mass spectrometry (SIMS) Appendix B.3 Glow discharge mass spectrometry (GDMS) Appendix B.4 Neutron activation analysis (NAA) Nomenclatures List 153 References 159 Publications 177 Danksagung 179

7 1 1 Introduction In the year 2007, a different light was shed on the global potential for renewable energy. For the first time, a global common roadmap for a secure climate future and the wish of a binding deal to reduce at long-term the emissions of green house gases were presented at the United Nations' World Climate Change Conference held in Bali in December [1]. Furthermore, the European Commission has put forward an ambitious target for 20% renewable energy by 2020 in Europe s overall energy mix [2]. A swift transfer towards renewable energies is necessary to decelerate the climatic change. The sun is a huge, regenerative and clean energy source; a simple calculation demonstrates the potential of solar energy to satisfy the energy consumption of humans: the incident solar light on earth is approximately 1 kw per m² and thus 130 Million GW of solar energy reach the earth s land surface. In comparison, the actual global energy consumption is about times lower [3]. Therefore, only a small fraction of the incoming light needs to be accessible to humans and to be converted to electrical or thermal energy in order to satisfy the human energy consumption. The conversion of solar light into electrical energy can be performed by solar cells. The high potential of solar cells reached also the industry. The PV production in 2007 was between 3.4GW P [4] and 4.2 GW P [5] and a total installation of 12.4 GW P [6]. Since 2003 the annual growth rate is, on average, more than 50% [5, 7]. Such an increased interest for solar cells promotes the research for cheaper and more efficient solar cells. The current industrial solar cell market is mainly based on crystalline silicon (c-si). In 2007 the market was dominated by single crystal wafers (42%) and multicrystalline (mc) wafers (45%), with smaller shares allotted to silicon ribbons (2.2%) and thin-films, such as CIS (0.5%), CdTe (4.7%) and a-si:h (5.2%) [5]. The thin-film sector grew by almost 80%, indicating that this technology is gaining an increased acceptance [7]. One reason is that only a small amount of expensive material is used, compared to a standard silicon module in which 50% of the costs are from the silicon wafer [8]. High-purity silicon is very difficult to produce and much effort and many cleaning steps are necessary in order to reach purity levels of better than 1 ppb [9]. The price of

8 2 Introduction SEMI 1 quality silicon is between 60 and 200 /kg and is partly this high due to the actual scarcity of high-quality silicon. It now becomes clear that the costs can be dramatically reduced when using alternative silicon sources independent from the microelectronics or concepts with reduced silicon consumption. One way to decrease the cost of the solar cells is to use solar grade poly silicon, with purity levels between 0.1 and 1000 ppm [10]. This silicon feedstock also has a cost projection of /kg [10, 11] so alternative technologies to decrease even further the solar cell cost are necessary. For example, silicon losses due to wafering can be avoided when using the direct growth of silicon ribbons (e.g. edge defined film-fed growth (EFG) and ribbon growth on substrates (RGS)). An alternative approach is the deposition of a high-purity silicon thin-film on a cheap substrate [12]. Low cost substrates such as metallurgical silicon (mg-si), glass or ceramics can be used. Wafers with epitaxial growth on low-cost silicon substrates are also called epitaxial wafer-equivalents (EpiWE), due to their resemblance to standard wafers. The concept of crystalline silicon thin-film (csitf) solar cells can substantially reduce silicon material consumption and has the potential to reach high efficiencies comparable to wafer silicon solar cells. The deposition of a thin silicon film by high-temperature chemical vapour deposition (CVD) and using a high-throughput reactor would cost below 15 /m 2 [13]. Therefore, a module made of crystalline silicon thin-film solar cells with 15% efficiency could costs below 1 /W P [13, 14]. Compared to typical a module of multicrystalline solar cells with an actual module cost of 4.7 /W P [15], the thin-film approach has a significant cost reduction potential. Since the early 1960s silicon thin-film solar cell concepts have been investigated [16]. However, due to the evolution of the PV market during the last years, the research was emphasised on this subject and a large variety of concept have been presented. 1 Semiconductor Equipment and Materials International is a trade organization and defines, among other activities, the standards specifications in the industry.

9 Introduction 3 n + emitter texture p epitaxial base p + epitaxial BSF porous intermediate layer mg, mc or Cz p + Si substrate Figure 1-1: Scheme of an epitaxial wafer-equivalent. Outline of this thesis This thesis deals with the optimisation of crystalline silicon thin-film solar cells grown by high-temperature atmospheric pressure chemical vapour deposition on silicon substrates. Through the concept of the epitaxial wafer-equivalent the sticking points were defined and examined extensively. In-situ processes were developed in order to minimise the process cost of the cell. Figure 1-1 shows the concept pursued in this thesis, with the following emphasis: the influence of the substrate quality, the optical confinement by intermediate porous silicon layer and front side texture, the optimisation of the epitaxial films for the use of BSF, base and emitter. Chapter 2 presents a short overview of crystalline silicon thin-film solar cell approaches. Firstly, the advantages of crystalline silicon thin-film concepts are pointed out. Concepts using low and high-temperature silicon deposition are presented with the emphasis on the direct epitaxial deposition at high temperature. The concepts pursued at Fraunhofer ISE, i.e. the epitaxial and recrystallised wafer-equivalents are described. Chapter 3 presents an introduction to the chemical vapour deposition (CVD) of silicon at atmospheric pressure (APCVD) - the basic method used in this work. The reaction kinetics and the doping incorporation for this CVD technique are presented and compared to the experimental data gained in the lab-type CVD reactors. Furthermore, the deposition concept, the CVD reactors and process control at Fraunhofer ISE are explained in detail. In Chapter 4, the efforts made in the last years to improve the efficiencies of the epitaxial wafer-equivalent solar cells are summarised. A short overview of the simulation tool and the applied solar cell processes is given. The cell

10 4 Introduction parameters are then examined in terms of their dependence on the substrates features. The cleaning, the block position and gettering of the substrate are investigated. Thereafter, the growth of the epitaxial layer by a different precursor is experimentally examined. The back surface field (BSF) and base are optimised by simulations and experimental results are shown. The influence of the epitaxial BSF, base doping, lifetime and thickness is investigated in detail. One main focus of this thesis, the application of silicon epitaxy for the emitter formation, is shown in Chapter 5. The advantages of the emitter deposition and restrictions due to the CVD reactors are presented. The deposition process, which was elaborated in this work, is then described in detail with emphasis on the doping profile and solar cell results. Solar cells of wafers with epitaxial emitters of both doping types are presented and finally, the concept is applied to p-type crystalline silicon thin-film solar cells on Czochralski and multicrystalline substrates. Furthermore, simulations results are shown and the recombination within the space charge region is investigated. The application to industrial relevant screen-printing technique is evaluated and first solar cells are presented. The application of HCl etching of silicon for solar cells is presented in Chapter 6. Firstly, the surface kinetics and surface morphology is introduced. HCl gas etching is a subject of research to perform in-situ processes for optical confinement, i.e. porous intermediate layers and texturing. Detailed process descriptions and properties for the use as internal reflector are presented. Additionally, the gettering effect of HCl etching is elaborated. The performance was firstly tested on substrates with relatively low-impurity level. The influence of the HCl getting was detected by lifetime measurements. The process was then applied on metallurgical substrates and characterised by mass spectrometry. A microscopic analysis and first solar cells are presented.

11 5 2 The concepts of crystalline silicon thinfilm solar cells This chapter introduces the most common concepts and the advantages of crystalline silicon thin-film solar cells. The low and high-temperature approaches, as well as the transfer techniques, are explained. The emphasis will remain on the concepts followed at Fraunhofer ISE, which are the epitaxial and recrystallised wafer-equivalents. 2.1 Introduction As already mentioned in Chapter 1, the silicon thin-film concepts were mainly introduced to reduce the cost of solar cells. Thin-films are, by definition, materials created by random nucleation and growth processes on a substrate [14]. The thickness of thin-films may vary from a few nanometres to tens of micrometers [14], usually less than 50 µm [17] for solar cell applications. As silicon is an indirect semiconductor, thick layers or improved light trapping are needed in order to reach efficiencies comparable to wafer solar cells. With optimum optical confinement and taking into account radiative and Auger recombination, an efficiency up to 25% is theoretically possible for a 10 µm cell or 15% for a 0.5 µm thick cell [17]. Traditionally, concepts of crystalline silicon thin-film (csitf) solar cells are divided into low and high-temperature approaches, depending on the temperature of the silicon deposition and maximum toleration of the substrate. The transfer technique is an exception to this classification, as the silicon layer is grown at high temperature but the solar cell substrate withstands only low temperatures. 2.2 Advantages of crystalline silicon thin-film solar cells The realisation of silicon thin-film solar cells profits from both thin-film and silicon wafer characteristics. The main advantages are listed below, with the focus on the epitaxial wafer-equivalent, which is described in the hightemperature section. Advantages of wafer silicon: - Silicon is a non-toxic and abundant material. - Silicon has high and stable lifetimes.

12 6 The concepts of crystalline silicon thin-film solar cells - Due to the importance of silicon in the microelectronic industry, there is much knowledge about the properties and handling of silicon. - A large PV industry dealing with silicon wafers is already established and much process knowledge specific to solar cells can be transferred. - The solar cell process of the epitaxial wafer-equivalent is similar to the stateof-the-art process in the PV industry and consequently there is a low acceptance threshold of using this new concept in the PV industry. The investments and risks are minimised [14]. - A high process yield of csitf solar cell production is probable because the production quality monitoring tools can be transferred from the wafer production [14]. Advantages of thin-films: - Thin-film solar cells have a low material consumption of high-purity silicon. - Low purity substrates can be used, reducing the total costs of the solar cells. - Fast and large area deposition (depending on the technique) can be used, resulting in high-throughput production. - It may also be possible to simplify some solar cell processes. In-situ processes, such as emitter epitaxy and HCl cleaning can be applied in the wafer-equivalent approach. 2.3 Low-temperature approach Crystalline silicon thin-film solar cells grown at low temperatures have the advantage that a large variety of substrates are available. Materials such as float glass, high-temperature boron-silicate and soda lime glass, plastic films and even steel can be used as the growth temperature is limited to 600 C. Contamination problems in the deposited silicon film are reduced because diffusion is negligible at low temperatures. The disadvantage is that the process temperature is limited by the substrates and a very low growth rate results. Typical growth rates are between 3 and 10 nm/min and this is the limiting step for the industrialisation of microcrystalline silicon [18]. Depending on the growth technique, the deposited silicon films have different grain sizes, which are classified as shown e.g. in Figure 2-1. When the silicon film is deposited below 400 C by PECVD, nanocrystalline or microcrystalline structures are obtained, whereas polycrystalline structures are formed when the deposition is made by thermal CVD at temperatures above 600 C [18]. Larger grains usually result in higher efficiencies thus often the film is recrystallised to improve the

13 High-temperature approach 7 grain structure. However, as the substrate is not heat resistant in this approach, low-temperature recrystallisation must be used e.g. by rapid thermal annealing, solid-phase, laser, e-beam and aluminium induced recrystallisation [19]. An advantage of low-temperature deposition is that hydrogen is incorporated in the silicon layer during the deposition. It thereby passivates defects and grain boundaries [18], but in comparison to large crystal structures, many grain boundaries are still active and have high recombination rates. Another disadvantage of the concept is that the solar cell process has to be adapted, e.g. for the emitter diffusion. Nano- Micro- Poly- Multi- Monocrystalline 1 nm 100 nm Grain size 1 µm 1 mm 1 cm 1 m Figure 2-1: A common classification of crystal structures according to grain sizes. The first fabrication of µc-si:h using PECVD was performed in 1979 [18], but micro-crystalline silicon thin-film solar cells were not considered feasible at first due to poor quality. In 1994, the research group at the Institute for Microelectronics at the University of Neuchâtel presented a single junction solar cell with an efficiency over 7% [18]. Nowadays, the best cell efficiencies on glass superstrates have values above 12% [20]. Increased efficiencies up to 15.0% are reached [21] when using a micromorph tandem structure, i.e. the combination of a microcrystalline bottom cell with an amorphous silicon top cell, and an efficient light trapping. In 2004, Kaneka Corporation presented a module with an aperture efficiency of 13.4% [22]. 2.4 High-temperature approach The high-temperature deposition of silicon is mainly accomplished by two methods, liquid phase epitaxy or chemical vapour deposition (CVD), the latter technique is explained in detail chapter 3. Silicon deposition performed at temperatures above 1000 C typically produces good crystal quality. On substrates with a similar lattice match to silicon, epitaxial 2 growth occurs and results in poly-, multi- or even monocrystalline silicon films, depending on the 2 Epitaxy is deviated from epi and taxis, which means in greek upon and ordered, respectively. Epitaxy denotes the growth of crystals of a material on the crystal base of another material, such that the crystalline substrates of both materials have the same structural orientation [23].

14 8 The concepts of crystalline silicon thin-film solar cells substrate structure (Figure 2-1). Despite the high temperature, if a foreign material substrate is used, a polycrystalline film is deposited and grain enlargement becomes necessary to reach acceptable efficiencies. As a rule of thumb for good efficiencies, the diffusion length in csitf solar cells should be more than 2 times longer that the thickness of the layer. Due to the fact that the substrate is heat-resistant, high-temperature recrystallisation techniques can be applied, e.g. rapid-thermal annealing, laser, liquid-phase or zone-melting recrystallisation. However, the requirements for the substrate are quite demanding and there are less suitable substrates available compared to the lowtemperature approach. Furthermore, the purity of the substrate has to be high enough so that only few impurities can diffuse into the active layer during the deposition. For substrates with a high number of impurities, an intermediate layer is necessary to act as a diffusion barrier. Three main concepts within the high-temperature approach are presented in this section: - the epitaxial thin-film silicon solar cell on a low cost silicon substrate; - the recrystallised thin-film silicon solar cell on foreign, conductive and nonconductive substrates; - and the lift-off thin-film silicon solar cell, where the silicon substrate is reused Epitaxial wafer-equivalents The simplest form of a crystalline silicon thin-film (csitf) solar cell is an epitaxial active layer deposited on a highly-doped inactive silicon substrate (Figure 2-2-A). Due to its resemblance to a silicon wafer solar cell it is also called the epitaxial wafer-equivalent (EpiWE). Standard industrial solar cell processes can be applied to this wafer-equivalent. CSiTF solar cells were first fabricated in the 1970s. Chu et al. presented epitaxial silicon thin-films deposited on purified and uni-directionally solidified mg-si substrates. They reached efficiencies up to 9.7% measured under an AM1 spectrum [24]. Despite this effort, little progress was made with EpiWEs until years later. In the 1990s, high-efficiencies were investigated with a best result from a 45 µm thick epitaxy layer on an Fz substrate with an ion-implanted SiO 2 layer beneath the surface. With this sophisticated SIMOX 3 approach and a highefficiency solar cell process, a 19.2% cell efficiency was reached [25]. 3 SIMOX: Separation by IMplanted OXygen.

15 High-temperature approach 9 Nowadays, more cost-effective substrates and solar cell processes are used. An attractive option to reduce the substrate costs while keeping the impurity level of the substrate low is the recycling of waste silicon. Such materials are highlydoped reclaimed wafers from the microelectronics industry or tops and tails from Czochralski-grown silicon, which are cast to multicrystalline silicon (offspec cast mc). More abundant, but also more impure substrates are metallurgical grade silicon (mg-si) or up-graded mg-si (umg-si). The impurities diffuse through the material easily at high temperatures and it is difficult to develop a process that prevents the formation of recombination centres. As the substrate and the deposited layer in the epitaxial Si solar cell have the same refractive index, no internal reflection occurs at this interface. In order to introduce optical confinement, an intermediate layer with a different refractive index must be identified that also allows good quality crystal growth. This could be achieved by using e.g. porous silicon, of which the porosity and therefore the refractive index can be adjusted [26, 27]. The advantage is that epitaxy is possible on porous silicon without sacrificing the quality of the epitaxial layer. This method was also part of this thesis project and is described in more detail in Section 6.3. Another option is to deposit a perforated SiO 2 layer, which is then overgrown by the epitxay. A selective epitaxial growth through a pattern of openings with liquid phase epitaxy was already developed by [28]. The oxide layer has a perfect refractive index for this purpose and acts additionally as a diffusion barrier. At present, research with optimised solar cell concepts is underway. E.g. the emitter wrap-through concept is known to reduce the grip shadowing [29]. A concept combining the advantages of the wafer-equivalent approach and the emitter wrap-through concept is currently under investigation [30]. n + emitter µm n + emitter µm n + emitter µm p epitaxial base 20 µm p epitaxial base 20 µm p epitaxial base 20 µm p + epitaxial BSF 2 µm p + zone molted BSF 2 µm p + zone molted BSF 2 µm intermediate layer 0.2 µm intermediate layer 0.2 µm low cost p + Si substrate 270 µm low cost p + Si substrate 270 µm low cost ceramic substrate 270 µm A B C Figure 2-2: Thin-film concepts pursued at Fraunhofer ISE: Epitaxial wafer-equivalent (A), Laser-fired rear access (LFA) (B), recrystallised wafer-equivalent on ceramics (C).

16 10 The concepts of crystalline silicon thin-film solar cells Zone-melted crystalline films By zone-melting recrystallisation (ZMR), microcrystalline silicon films are melted and cooled in a controlled manner so that the grain size is enlarged to over 10 mm 2. A long, narrow silicon strip is melted and this liquid zone is scanned across the sample. Thereby, elongated grains of up to 10 cm in length and several millimetres in width are formed [31, 32]. The dislocation density varies widely with crystal orientation [24, 32] and much effort has been invested into achieving large and homogeneous recrystallisations [33]. A new concept called laser-fired rear access (LFA) is currently under development at Fraunhofer ISE. A scheme of these concepts are shown in Figure 2-2-B [34]. On a low-cost and impure silicon substrate, an SiO 2 diffusion barrier is deposited and subsequently a silicon layer. The microcrystalline silicon is then zone-melted. A subsequent laser processing creates holes, which penetrate the insulating SiO 2 layer. The holes are filled up by an epitaxially deposited base, establishing the connection to the rear side contact (hence the name). This approach combines the advantages of an intermediate SiO 2 layer (light trapping and diffusion barrier) with a simple two-sided cell metallisation process. Recently, efficiencies up to 8.4% have been reached at Fraunhofer ISE [34]. Mitsubishi electric corporation presented a 16.5% efficient cell with an SiO 2 intermediate layer and zone-melted film, but more complex cell concept [32]. This proves the potential of this concept. When using foreign substrates, such as ceramic or graphite, a recrystallisation of the microcrystalline silicon film becomes necessary. On non-conductive substrates, sophisticated cell structures are applied, such as front side contacts. These solar cell processes are too complex for a low-cost industrial application and therefore efforts are channelled to the development of conductive substrates and intermediate layers [35]. Figure 2-2-C shows the scheme of a csitf solar cell on a ceramic substrate with two-sided contacts, an SiC intermediate layer and ZMR recrystallisation. Efficiencies up to 7.2% were reached so far [35] Transfer techniques A compromise between high-temperature epitaxial deposition and low cost substrates can be reached with transfer techniques. A high-quality csitf is deposited on a host substrate by epitaxy. The emitter and front contact formation are done while the csitf is still attached to the substrate and only after completion of the front-side cell structure the csitf is lifted-off and transferred

17 Summary 11 to a low-cost substrate. The host substrate can be electrochemically pre-etched to form a highly porous silicon layer (PSI). The porous structure of the layer changes during thermal annealing such that large voids are formed and separation is easily possible. On top of this layer a layer of low porosity is etched so that an epilayer of good quality can be grown. The subsequent solar cell process can be simplified, e.g. by autodiffusion of the emitter when using a highly-doped n-type substrate [36]. The csitf is separated mechanically and glued to a textured glass superstrate for mechanical protection. The hostsubstrate can be reused several times; however, the quality of the epitaxial layer worsens [28]. Another transfer technique is the Epilift concept, where the epitaxy is grown by LPE on a monocrystalline substrate with a mesh-like SiO 2 layer. The csitf has then a waffle-grid structure, which can be contacted using an interdigitated grid. Efficiencies up to 13% have been reached [37]. 2.5 Summary Silicon is a non-toxic, abundant material for which much process knowledge from the microelectronics and solar cell industries can be transferred. Crystalline silicon thin-film solar cells combine the advantages offered by the wellestablished silicon wafer industry with the advantages of thin-film concepts, such as low material consumption of high-purity silicon and low-cost substrates. Depending on the process temperature, different substrates are required. For low growth-temperatures cheap substrates such as glass and plastic films can be used. High growth-temperatures need thermally stable substrates, such as ceramics or low-cost silicon. Microcrystalline silicon thin-film solar cells show low conversion efficiencies and recrystallisation methods to enlarge the grains are necessary. At Fraunhofer ISE two main concepts are pursued: the epitaxial and the recrystallised wafer-equivalents. The simplest approach of crystalline thin-film solar cells is the direct deposition of an epitaxial layer on a low-cost substrate. Optical confinement is necessary and is accomplished with reflective intermediate layers. Highest efficiencies of 19.2% for epitaxial waferequivalents with an ion-implanted SiO 2 layer were reached. Nowadays, more cost-effective approaches are followed, including epitaxial deposition on metallurgical silicon or layer transfer techniques.

18

19 13 3 Silicon deposition by Chemical Vapour Deposition (CVD) As a result of the invention of the bipolar transistor by Bardeen, Brattain and Shockley in 1947 and therefore the necessity of abrupt junctions in silicon, the Chemical vapour deposition (CVD) became the key silicon deposition process for microelectronic production. This chapter introduces the basic techniques for silicon deposition and then focuses on thermal atmospheric pressure CVD, our technique of choice. The reaction kinetics and the doping incorporation for this CVD technique are introduced. Furthermore, the deposition concept and an overview of the CVD reactors at Fraunhofer ISE are presented. A brief description of the process control in the lab-type reactors concludes this chapter. 3.1 Silicon deposition techniques Today, a large variety of silicon deposition techniques are available. The spread of different methods can be simplified by classifying them according to the silicon source. Physical Vapour Deposition (PVD) is a technique where thermal or e-beam evaporation first transfers solid silicon into gas phase and the gaseous silicon compounds are then deposited on a substrate. When this occurs in a high vacuum the method is referred to as Molecular Beam Epitaxy (MBE). Liquid Phase Epitaxy (LPE) is a method using a silicon-saturated metal solvent. When the silicon substrate is introduced into the super-saturated liquid at temperatures between 700 C and 900 C, epitaxial growth occurs on the surface with a growth rate up to 1 µm/min [14]. Laboratory type solar cells show good performance [38], but industrial application is challenging as uniform topology over large areas is very difficult with LPE [14, 38]. Another very common deposition technique is Chemical Vapour Deposition (CVD), where a solid film is deposited from a gaseous phase via a chemical reaction. The technique is further classified according to the decomposition from the gaseous phase to the reaction educts. Plasma Enhanced CVD (PECVD) relies on the formation of excited species by the plasma which lower the activation energy required for the dissociation of the precursor gas [14, 38]. The

20 14 Silicon deposition by Chemical Vapour Deposition (CVD) plasma is often produced by radio frequency. An alternative method is the Hot- Wire CVD, where a silicon source is decomposed by a catalyst, often a tungsten or tantalum wire. In thermal CVD, the gas dissociation is achieved using only heat, either in the gas or at the substrate surface. Thermal CVD is then divided into different pressure regimes with appropriately various temperatures. Reduced pressure (RPCVD) operates at pressures between Pa, whereas low pressure (LPCVD) operates at about Pa and ultra-high vacuum CVD (UHV-CVD) at Pa. CVD reactors operating at atmospheric pressure (APCVD) (10 5 Pa) need higher temperatures ( C) for epitaxy processes than reactors using lower pressure regimes. High-temperature CVD deposition is our technique of choice. It has the advantages of very high deposition rates and growth at atmospheric pressure which simplifies the process procedure. APCVD reactors are commonly used in microelectronics and the chemistry is therefore well understood. The gas-handling, waste-gas treatment and process chemistry are state-of-the-art. 3.2 Principle of thermal atmospheric pressure CVD The most common CVD processes for silicon epitaxy are based on the hydrogen reduction of chlorosilane. In this thesis the focus lies on CVD deposition with Trichlorosilane (TCS) or Silicontetrachloride (STC) as precursor. Figure 3-1 shows schematically the individual process steps that take place during the deposition: The mass transport of the main gas flow into the deposition zone (A), the gas phase reactions (B), the mass transport of the precursors to the growth surface (C), the adsorption of the precursor to the growth surface (D), the surface diffusion to the growth sites (E), the incorporation of the silicon to the growing film (F), the desorption of the byproducts of the surface reactions (G) and the mass transport of the byproducts into the main gas flow (H) [39]. The type of gas flow, laminar or turbulent, is an important factor for the homogeneity of a deposited layer. The Reynolds number determines the behaviour of the gas depending on the reactor geometry, the gas velocity, the gas density and the gas viscosity. In [39-41] more detailed analyses of the fluiddynamics in a horizontal CVD reactor are given. In the next section the reactions kinetics, the resulting growth rate and the chemical yield are briefly described with emphasis on the doping incorporation. More detailed descriptions can be found in [23, 39, 42-45], among others.

21 Principle of thermal atmospheric pressure CVD 15 Main gas flow A H G B C D E F Substrate Figure 3-1: Schematic of the partial processes during CVD [39] Reaction kinetics for Trichlorosilane and Silicontetrachloride A simplified model of silicon deposition from trichlorosilane (TCS) and silicontetrachloride (STC) is presented by Habuka [44] and by Narusawa [46]. Table 3-1 summarises some of the reactions on the silicon growth surface using TCS or STC as precursor, corresponding to the schematic in Figure 3-1. Gas phase reactions It was found that the concentration of SiCl 2 is lower than that of TCS at the silicon surface [46]. Calculations performed show that at temperatures above 1100 C for any Cl/H ratio, SiCl 2 is the dominating silicon species in the gas phase for both precursor types [44, 47, 48]. The STC and TCS quantities in the gas phase decrease with increasing temperature. The reactions (1) and (5) in Table 3-1 occur at Point B in Figure 3-1. Chemisorption Reactions (1) and (5) can also take place at point C in Figure 3-1, where the SiHCl 3 is chemisorbed and SiCl 2 is adsorbed. The adsorbed SiCl 2 is denoted by an asterisk. In the model proposed by Habuka et al. [44], the gas phase reactions are not taken into account because the thermal decomposition of TCS is assumed to be negligible. They conclude that the chemisorbed *SiCl 2 is the dominant species for the silicon growth and that the surface is covered with *SiCl 2. The species in the gas phase and the elemental processes of the epitaxial growth are stable across a wide temperature range. Decomposition of *SiCl 2 After adsorption, *SiCl 2 is decomposed by hydrogen at the growth surface according to equation (2). The remaining silicon diffuses to a site where incorporation is more favourable. On a plateau region the silicon atoms can only form two bonds to the crystal so they diffuse further along the ledge to a kink site, where an energetically lower binding occurs [41]. The silicon is then incorporated into the crystal. Desorption and etching (3) and (7) are competing reactions to the decomposition of *SiCl 2 and results in the desorption of *SiCl 2. For a high yield,

22 16 Silicon deposition by Chemical Vapour Deposition (CVD) the rate of *SiCl 2 desorption should be much smaller than that of the chemisorption or silicon etching. Impurity atoms on the surface may react with gaseous species (especially HCl), removing the impurity locally and resulting in a pit. Impurities on the surface may also react with chlorosilanes, in which case the growth is accelerated and results in a hillock on the surface [23]. The total reactions can be described by equation (4) for TCS and equation (6) for STC. Table 3-1: Reaction kinetics of silicon deposition with TCS, STC and silicon etching. Deposition with TCS Deposition with STC Etching Process Gas-phase reaction / Chemisorption of SiHCl 3 Decomposition of * SiCl 2 Desorption Total reaction Reaction * Point in Figure 3-1 SiHCl3 SiCl2 + HCl (3-1) B, D * SiCl2 + H2 Si + 2HCl (3-2) D, F * * SiCl SiCl HCl SiHCl SiCl 2 SiHCl3 + H2 Si + 3HCl (3-4) 3 (3-3) G Gas-phase reaction / SiCl4 + H2 SiHCl3 + HCl (3-5) B, D Chemisorption of SiCl 4 Chemisorption, Decomposition and Desorption analogue to TCS D, F, G Total reaction SiCl4 + H2 Si + 4HCl (3-6) Si + nhcl SiCl n + H2 n 2 (3-7) Growth rate The growth rate is mainly determined by the temperature, which is shown in Figure 3-2. For low temperatures the kinetics of the surface reaction limit the deposition rate and the growth rate is described by the Arrhenius function as follows: E A growth rate = Aexp(- ), (3-8) k BT where A is a pre-exponential factor, E A is the activation energy, k B is Boltzmann s constant and T is the temperature. However, above a certain limit the surface reaction is faster than the rate at which the reactant species reach the surface. Therefore, at high temperatures the deposition rate is limited by the amount of reactants. The deposition rate increases only slightly with temperature and the process is mass-transport limited.

23 Principle of thermal atmospheric pressure CVD 17 Growth Rate [µm/min] T [ C] mass transport limited surface reaction limited Slope = -E a /k /T [ K] Figure 3-2: Arrhenius plot of the growth rate. At low temperatures the kinetics of the surface reactions limit the deposition rate. At high temperatures the reaction occurs fast and the growth rate is limited by the mass transport Chemical yield The chemical yield refers to the efficiency to convert silicon from the initial gas phase into solid silicon. The initial Si/Cl ratio compared to the exhaust (final) Si/Cl ratio under equilibrium conditions denotes the yield of the silicon deposition or etching. The chemical yield is therefore defined by: ( Si / Cl) final η Si =1. (3-9) ( Si / Cl) initial The initial Si/Cl ratio depends on the silicon precursor and is 0.33 for TCS and 0.25 for STC. If the final Si/Cl ratio exceeds these values then etching occurred during the process, otherwise deposition. In thermal equilibrium the chemical yield can be calculated by the partial pressures of the silicon and chlorine containing species at a given temperature and Cl/H ratio. The lower the Cl/H ratio the larger is the chemical yield at a given temperature. Increasing the temperature results in higher conversion efficiency due to the enhanced reactivity [47] Dopant incorporation The dopant incorporation was investigated in detail by [43, 49, 50] for phosphorus and [49-51] for boron, amongst others. In general, dopant incorporation is described similarly to the silicon growth and depends also on the thermal transport and reaction kinetics shown in Figure 3-1. The incorporation can be modelled by the following equation:

24 18 Silicon deposition by Chemical Vapour Deposition (CVD) 0 22 pdopant Cdopant = 5 10 Keff (T, growth rate) for 0 Keff 1, (3-10) 0 psi where C dopant is the dopant concentration in the silicon layer, K eff the effective segregation coefficient from the gas phase to solid silicon and p 0dopant and p 0Si the partial pressures of the dopant containing gas and silicon precursor, respectively [43]. For a complete incorporation of all atoms reaching the surface K eff is equal to Boron incorporation A common p-type dopant source for many materials and deposition techniques is diborane (B 2 H 6 ). In APCVD it has the advantage that gas phase doping is easily controlled, as diborane can be diluted in hydrogen [23]. Monoatomic boron in the gas phase is negligible, no species such as B 2 or B 4 are present and mainly gaseous subhydrides such as BH 3 exist at high temperatures. Therefore, a good boron incorporation is possible with a low diborane gas flow [49]. The equation describing the reaction kinetics at the silicon surface is as follows [51]: B 2H6 2BH3 2B + 3H2 (3-11) The desorption of boron atoms from the silicon surface is negligible for small diborane vapour pressures. Competitive chemical reactions between boron incorporation and silicon growth are assumed to exist, resulting in a K eff lower than 1. Consequently, the incorporated carrier concentration decreases for increasing silicon growth rates [51]. Boron concentration [cm -3 ] B 2 H 6 RTCVD100 B 2 H 6 from [23] Temperature [ C] Figure 3-3: Boron incorporation depending on the growth temperature [23, 43, 50].

25 Principle of thermal atmospheric pressure CVD 19 Dependence on the temperature - Another interesting point is that the boron concentration increases with increasing temperature. This occurs because the segregation coefficient (K eff ) depends on the deposition temperature [43, 52]. Figure 3-3 shows experimental data from Herring [23] and from the RTCVD100 lab-type reactor at ISE for a diborane concentration of 1.2 ppm and STC as precursor. Dependence on the diborane gas flow - The carrier concentration of the boron in epitaxial silicon has a linear relationship to the B 2 H 6 concentration in the process gas [51] and is shown experimentally in Figure 3-4. Experimental data from the literature is compared with values from both RTCVD reactors at ISE (see Sections and 3.3.3) for STC and TCS precursors. The incorporation of boron remains linear up to a concentration of 1x10 20 cm -3, but decreases after a peak value near a diborane concentration of 500 ppm [49]. The solubility limit of boron at 1200 C in silicon is about 5x10 20 cm -3 [53], but such high values were not experimentally reported in the literature nor measured at ISE. The reason for the decrease at higher diborane partial pressures is that boron-containing species begin to condense and do not contribute to doping of the epitaxial layer [23]. This reduction could not be observed for the data from ISE. In general, the carrier density deviates by more than one order of magnitude from the literature data to the ISE experiments for the same precursor. Table 3-2 shows the incorporation of boron at 0.1 ppm B 2 H 6 for the different processes. Two effects are primarily responsible: the purity of the gas in terms of oxygen and water content and the amount of chlorine. For phosphorus doping the concentrations are too small to have any influence, but for boron doping, the effect of the impurities cannot be neglected [43]. The following reaction takes place in the presence of water: BH 3 + 2H 2O BHO2 + 3H 2. (3-12) The boron bound to water is then not incorporated into the epitaxial layer and the total incorporation is thereby lowered for higher oxygen backgrounds. The oxygen content in the commercial reactors is about ppm [23, 43], whereas it is higher (6 ppm to 20 ppm) in the reactors at ISE (Table 3-2). Analogous results are found to be dependent on the HCl content in the gas, i.e. Cl/H ratios: BH + 3 3HCl BCl3 3H2 +. (3-13)

26 20 Silicon deposition by Chemical Vapour Deposition (CVD) The formation of BCl 3 decreases the free boron content [43]. It is assumed that Rai-Choudhury [49, 54], as well as Habuka [51], used commercial reactors with very low Cl/H ratios between In contrast, the CVD reactors at ISE have Cl/H ratios of 0.1 and 0.75 for the RTCVD160 and RTCVD100, respectively. The amount of chlorine is therefore higher for the RTCVDs, repressing the incorporation of boron. The lower doping for TCS as compared to STC in the RTCVD100 can be similarly explained. Furthermore, in the equilibrium calculations it is assumed that the amount of injected gases are the same as on the surface [43], but the mass transport differs for different reactor types and the calculations are not always applicable. In addition, the inserted gas is diluted with hydrogen gas due to the geometries of the RTCVD reactors. Carrier density [cm -3 ] Rai-Choudhury (STC, 1230 C) Habuka (TCS, 950 C) RTCVD160 (TCS, 1170 C) RTCVD100 (TCS, 1220 C) RTCVD100 (STC, 1200 C) Diborane [ppm] Figure 3-4: Boron concentration depending on diborane concentration [49, 51]. Deposition with TCS Table 3-2: Boron incorporation at 0.1 ppm B 2 H 6 corresponding to Figure 3-4. Temp [ C] O 2 background [ppm] Cl/H ratio Incorporation at 0.1 ppm B 2 H 6 [cm -3 ] Reference / reactor [43] x10 18 Habuka [51] x10 17 RTCVD x10 16 RTCVD100 Deposition [43] x10 19 Rai-Choudhury [49] with STC x10 17 RTCVD100

27 Principle of thermal atmospheric pressure CVD Phosphorus incorporation With the exception of heavy doping dependence, the results of n-type doping are similar to the p-type doping [49]. But in contrast to boron, the phosphorus incorporation has a stronger temperature dependence and is better incorporated at lower temperatures. This can be explained, as phosphorus tends extremely to out-diffuse [55]. The following observations are well described in [43] and [41]. They are summarised here and are highlighted with experimental results from the larger lab-type reactor at Fraunhofer ISE. P concentration [cm -3 ] C 1150 C 1230 C Growth rate [µm/min] Figure 3-5. Phosphorus incorporation versus growth rate at three temperatures [43]. P concentration [cm -3 ] Temperature [ C] Figure 3-6: Phosphorus incorporation depending on the growth temperature [23, 43, 50]: Dependence of the growth rate and temperature Figure 3-5 shows the phosphorus incorporation depending of the growth rate and temperature (data from [43]). The higher the temperature, the higher the out-diffusion of phosphorus, which inhibits a high incorporation of phosphorus at any growth rate (Figure 3-6). At low temperatures an increase of the growth rate results in a higher phosphorus concentration. The reason is that a high concentration of the gaseous phosphorus is present at the silicon surface and the out-diffusion of the incorporated phosphous is slower than the growth process. With further increase of the growth rates the phophorus incorporation decreases at all temperatures since all phosphorus atoms are incorporated. Therefore, the partial pressure near the surface decreases and no equilibrium between the phosphorus in the solid and the vapour is present [56]. In general, phosphorus doping tends to lower the silicon growth rate by competition for surface sites [45]. Dependence on the phosphine gas flow Figure 3-7 shows a double logarithmic plot of the phosphorus incorporation depending on the injected phosphine concentration. Three different regimes are observed, where the slope

28 22 Silicon deposition by Chemical Vapour Deposition (CVD) changes from 1 at low and intermediate PH 3 input pressures, to ½ and even ¼ at high input pressures. The first change in slope can be explained by a change in the composition of the gas phase in contact with the surface, the second change is connected to a transition from intrinsic to extrinsic growth conditions. For all partial pressures the incorporation of phosphorus is assumed to occur only with monoatomic phosphorus. At low PH 3 partial pressures mainly PH 3 and PH 2 are present in the gas phase: 0 p PH p 3 PH + p 2 PH3 = (3-14) The composition of the gas changes when it comes into contact with the surface and the following reactions occur: PH P + H and PH P H2. (3-15) The partial pressure of phosphine is directly proportional to the partial pressure of the incorporated phosphorus. Therefore, a slope of 1 results in the double logarithmic plot. p = const. p log(p ) = 1 log(p ) log(const.) (3-16) 0 PH + 3 P PH3 PSi At higher PH 3 partial pressures, mostly P 2 is present in the gas phase: p = 2 p (3-17) 0 PH 3 P2 The diphosphorus decomposes to atomic phosphorus at the surface: P 2 2 P (3-18) The partial pressure of phosphine is therefore proportional to the power of two of the phosphorus partial pressure. This results in a slope of ½ in the log-log plot: pph = const. p log(p ) log(p ) 3 P P = 2 PH (3-19) 3 For moderate doping concentration the incorporated phosphorus is ionised at growth temperature. However, at temperatures above 1130 C the intrinsic concentrations for electrons and holes are in the order of and cm -3, respectively. As long as the phosphorus concentration is low, the electron concentration is determined by the intrinsic concentration and the ionised phosphorus concentration is proportional to the phosphine partial pressure. When the phosphorus concentration is larger than the intrinsic concentration, the number of electrons is determined by the number of ionised phosphorus atoms. 1

High-temperature CVD silicon films for crystalline silicon thin-film solar cells

High-temperature CVD silicon films for crystalline silicon thin-film solar cells High-temperature CVD silicon films for crystalline silicon thin-film solar cells Dissertation zur Erlangung des akademischen Grades des Doktors der Naturwissenschaften (Dr. rer. nat.) an der Universität

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Silicon Wafer Solar Cells

Silicon Wafer Solar Cells Silicon Wafer Solar Cells Armin Aberle Solar Energy Research Institute of Singapore (SERIS) National University of Singapore (NUS) April 2009 1 1. PV Some background Photovoltaics (PV): Direct conversion

More information

PV-FZ Silicon Wafers for High Efficiency Solar Cells

PV-FZ Silicon Wafers for High Efficiency Solar Cells Note relaunched January 2014, replacing PV-FZ Silicon Wafers for High Efficiency Solar Cells, September 2010 APPLICATION NOTE PV-FZ Silicon Wafers for High Efficiency Solar Cells PV-FZ monocrystalline

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

Figure 10.1. Process flow from starting material to polished wafer.

Figure 10.1. Process flow from starting material to polished wafer. Figure 10.1. Process flow from starting material to polished wafer. 1/11/003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XI 1 Starting material: silicon dioxide (SiO ): pure form of sand (quartzite)

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4

Wafer Manufacturing. Reading Assignments: Plummer, Chap 3.1~3.4 Wafer Manufacturing Reading Assignments: Plummer, Chap 3.1~3.4 1 Periodic Table Roman letters give valence of the Elements 2 Why Silicon? First transistor, Shockley, Bardeen, Brattain1947 Made by Germanium

More information

The Current status of Korean silicon photovoltaic industry and market. 2011. 3.17 Sangwook Park LG Electronics Inc.

The Current status of Korean silicon photovoltaic industry and market. 2011. 3.17 Sangwook Park LG Electronics Inc. The Current status of Korean silicon photovoltaic industry and market 2011. 3.17 Sangwook Park LG Electronics Inc. contents 1.Introduction (World PV Market) 2.Korean PV market 3.Photovoltaics in LG Electronics

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

ELG4126: Photovoltaic Materials. Based Partially on Renewable and Efficient Electric Power System, Gilbert M. Masters, Wiely

ELG4126: Photovoltaic Materials. Based Partially on Renewable and Efficient Electric Power System, Gilbert M. Masters, Wiely ELG4126: Photovoltaic Materials Based Partially on Renewable and Efficient Electric Power System, Gilbert M. Masters, Wiely Introduction A material or device that is capable of converting the energy contained

More information

CRYSTAL DEFECTS: Point defects

CRYSTAL DEFECTS: Point defects CRYSTAL DEFECTS: Point defects Figure 10.15. Point defects. (a) Substitutional impurity. (b) Interstitial impurity. (c) Lattice vacancy. (d) Frenkeltype defect. 9 10/11/004 Ettore Vittone- Fisica dei Semiconduttori

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar Light management for photovoltaics Ando Kuypers, TNO Program manager Solar Global energy consumption: 500 ExaJoule/Year Solar irradiation on earth sphere: 5.000.000 ExaJoule/year 2 Capturing 0,01% covers

More information

Thin Is In, But Not Too Thin!

Thin Is In, But Not Too Thin! Thin Is In, But Not Too Thin! K.V. Ravi Crystal Solar, Inc. Abstract The trade-off between thick (~170 microns) silicon-based PV and thin (a few microns) film non-silicon and amorphous silicon PV is addressed

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Wafer-based silicon PV technology Status, innovations and outlook

Wafer-based silicon PV technology Status, innovations and outlook Wafer-based silicon PV technology Status, innovations and outlook Wim Sinke ECN Solar Energy, Utrecht University & European PV Technology Platform www.ecn.nl Contents Wafer-based silicon photovoltaics

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Photovoltaics photo volt Photovoltaic Cells Crystalline Silicon Cells Photovoltaic Systems

Photovoltaics photo volt Photovoltaic Cells Crystalline Silicon Cells Photovoltaic Systems 1 Photovoltaics Photovoltaic (PV) materials and devices convert sunlight into electrical energy, and PV cells are commonly known as solar cells. Photovoltaics can literally be translated as light-electricity.

More information

Fundamentals of Photovoltaic Materials

Fundamentals of Photovoltaic Materials Fundamentals of Photovoltaic Materials National Solar Power Reasearch Institute, Inc. 12/21/98-1 - 12/21/98 Introduction Photovoltaics (PV) comprises the technology to convert sunlight directly into electricity.

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

SOLAR ELECTRICITY: PROBLEM, CONSTRAINTS AND SOLUTIONS

SOLAR ELECTRICITY: PROBLEM, CONSTRAINTS AND SOLUTIONS SOLAR ELECTRICITY: PROBLEM, CONSTRAINTS AND SOLUTIONS The United States generates over 4,110 TWh of electricity each year, costing $400 billion and emitting 2.5 billion metric tons of carbon dioxide (Yildiz,

More information

NANO SILICON DOTS EMBEDDED SIO 2 /SIO 2 MULTILAYERS FOR PV HIGH EFFICIENCY APPLICATION

NANO SILICON DOTS EMBEDDED SIO 2 /SIO 2 MULTILAYERS FOR PV HIGH EFFICIENCY APPLICATION NANO SILICON DOTS EMBEDDED SIO 2 /SIO 2 MULTILAYERS FOR PV HIGH EFFICIENCY APPLICATION Olivier Palais, Damien Barakel, David Maestre, Fabrice Gourbilleau and Marcel Pasquinelli 1 Outline Photovoltaic today

More information

High power picosecond lasers enable higher efficiency solar cells.

High power picosecond lasers enable higher efficiency solar cells. White Paper High power picosecond lasers enable higher efficiency solar cells. The combination of high peak power and short wavelength of the latest industrial grade Talisker laser enables higher efficiency

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Chemical Synthesis. Overview. Chemical Synthesis of Nanocrystals. Self-Assembly of Nanocrystals. Example: Cu 146 Se 73 (PPh 3 ) 30

Chemical Synthesis. Overview. Chemical Synthesis of Nanocrystals. Self-Assembly of Nanocrystals. Example: Cu 146 Se 73 (PPh 3 ) 30 Chemical Synthesis Spontaneous organization of molecules into stable, structurally well-defined aggregates at the nanometer length scale. Overview The 1-100 nm nanoscale length is in between traditional

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Introduction............................. 2. Electrostatic Charging of Samples in Photoemission Experiments............................

More information

THIN-FILM SILICON SOLAR CELLS

THIN-FILM SILICON SOLAR CELLS ENGINEERING SCIENCES Micro- and Nanotechnology THIN-FILM SILICON SOLAR CELLS Arvind Shah, Editor The main authors of Thin-Film Silicon Solar Cells are Christophe Ballif, Wolfhard Beyer, Friedhelm Finger,

More information

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach)

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach) CONTENTS Preface. Energy Band Theory.. Electron in a crystal... Two examples of electron behavior... Free electron...2. The particle-in-a-box approach..2. Energy bands of a crystal (intuitive approach)..3.

More information

Developments in Photoluminescence Characterisation for Silicon PV

Developments in Photoluminescence Characterisation for Silicon PV Developments in Photoluminescence Characterisation for Silicon PV School of Photovoltaic and Solar Energy Engineering Bernhard Mitchell 1, Thorsten Trupke 1,2, Jürgen W. Weber 2, Johannes Greulich 3, Matthias

More information

2. Deposition process

2. Deposition process Properties of optical thin films produced by reactive low voltage ion plating (RLVIP) Antje Hallbauer Thin Film Technology Institute of Ion Physics & Applied Physics University of Innsbruck Investigations

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

NOVEL SOLAR CELL CONCEPTS

NOVEL SOLAR CELL CONCEPTS NOVEL SOLAR CELL CONCEPTS Dissertation zur Erlangung des akademischen Grades des Doktors der Naturwissenschaften (Dr. rer. nat.) an der Universität Konstanz Fachbereich Physik vorgelegt von Jan Christoph

More information

Solar Cell Parameters and Equivalent Circuit

Solar Cell Parameters and Equivalent Circuit 9 Solar Cell Parameters and Equivalent Circuit 9.1 External solar cell parameters The main parameters that are used to characterise the performance of solar cells are the peak power P max, the short-circuit

More information

From Nano-Electronics and Photonics to Renewable Energy

From Nano-Electronics and Photonics to Renewable Energy From Nano-Electronics and Photonics to Renewable Energy Tom Smy Department of Electronics, Carleton University Questions are welcome! OUTLINE Introduction: to EE and Engineering Physics Renewable Energy

More information

The Physics of Energy sources Renewable sources of energy. Solar Energy

The Physics of Energy sources Renewable sources of energy. Solar Energy The Physics of Energy sources Renewable sources of energy Solar Energy B. Maffei Bruno.maffei@manchester.ac.uk Renewable sources 1 Solar power! There are basically two ways of using directly the radiative

More information

What is Solar? The word solar is derived from the Latin word sol (the sun, the Roman sun god) and refers to things and methods that relate to the sun.

What is Solar? The word solar is derived from the Latin word sol (the sun, the Roman sun god) and refers to things and methods that relate to the sun. What is Solar? The word solar is derived from the Latin word sol (the sun, the Roman sun god) and refers to things and methods that relate to the sun. What is the solar industry? The solar industry is

More information

Silicon Dioxide Layer Key to High Efficiency Crystalline Solar Cells

Silicon Dioxide Layer Key to High Efficiency Crystalline Solar Cells 11760 Sorrento Valley Road, Suite E San Diego, CA 92121 858.259.1220 / 858.259.0123 fax www.rasirc.com Silicon Dioxide Layer Key to High Efficiency Crystalline Solar Cells Wet Thermal Oxide Films enable

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Layer transfer with porous Silicon (PSI-Prozess)

Layer transfer with porous Silicon (PSI-Prozess) Thin-film Si wafer cells from layer transfer: surpassing the recombination hurdle of Si thin-film technologies Rolf Brendel 1,2 and Barbara Terheiden 1 1 (ISFH) 2 Institut für Festkörperphysik, Leibniz

More information

Secondary Ion Mass Spectrometry

Secondary Ion Mass Spectrometry Secondary Ion Mass Spectrometry A PRACTICAL HANDBOOK FOR DEPTH PROFILING AND BULK IMPURITY ANALYSIS R. G. Wilson Hughes Research Laboratories Malibu, California F. A. Stevie AT&T Bell Laboratories Allentown,

More information

APPLICATION OF X-RAY COMPUTED TOMOGRAPHY IN SILICON SOLAR CELLS

APPLICATION OF X-RAY COMPUTED TOMOGRAPHY IN SILICON SOLAR CELLS APPLICATION OF X-RAY COMPUTED TOMOGRAPHY IN SILICON SOLAR CELLS V.A. Popovich 1, W. Verwaal 2, M. Janssen 1, I. J. Bennett 3, I.M.Richardson 1, 1. Delft University of Technology, Department of Materials

More information

Development and Comparison of Small and Large Area Boron Doped Solar Cells in n-type and p-type Cz-Si

Development and Comparison of Small and Large Area Boron Doped Solar Cells in n-type and p-type Cz-Si Development and Comparison of Small and Large Area Boron Doped Solar s in n-type and p-type Cz-Si Izete Zanesco, Adriano Moehlecke, Jaqueline Ludvig Pinto, and Moussa Ly Solar Energy Technology Nucleus

More information

Graphene a material for the future

Graphene a material for the future Graphene a material for the future by Olav Thorsen What is graphene? What is graphene? Simply put, it is a thin layer of pure carbon What is graphene? Simply put, it is a thin layer of pure carbon It has

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

MCQ - ENERGY and CLIMATE

MCQ - ENERGY and CLIMATE 1 MCQ - ENERGY and CLIMATE 1. The volume of a given mass of water at a temperature of T 1 is V 1. The volume increases to V 2 at temperature T 2. The coefficient of volume expansion of water may be calculated

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

High Open Circuit Voltage of MQW Amorphous Silicon Photovoltaic Structures

High Open Circuit Voltage of MQW Amorphous Silicon Photovoltaic Structures High Open Circuit Voltage of MQW Amorphous Silicon Photovoltaic Structures ARGYRIOS C. VARONIDES Physics and EE Department University of Scranton 800 Linden Street, Scranton PA, 18510 United States Abstract:

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

DOE Solar Energy Technologies Program Peer Review. Denver, Colorado April 17-19, 2007

DOE Solar Energy Technologies Program Peer Review. Denver, Colorado April 17-19, 2007 DOE Solar Energy Technologies Program Peer Review Evaluation of Nanocrystalline Silicon Thin Film by Near-Field Scanning Optical Microscopy AAT-2-31605-05 Magnus Wagener and George Rozgonyi North Carolina

More information

The different type of photovoltaic systems and their applications

The different type of photovoltaic systems and their applications The different type of photovoltaic systems and their applications Solar radiation Solar radiation: electromagnetic energy emitted by the fusion of hydrogen content in the sun. - On the solar surface to

More information

Laser beam sintering of coatings and structures

Laser beam sintering of coatings and structures Laser beam sintering of coatings and structures Anne- Maria Reinecke, Peter Regenfuß, Maren Nieher, Sascha Klötzer, Robby Ebert, Horst Exner Laserinstitut Mittelsachsen e.v. an der Hochschule Mittweida,

More information

DIFFUSION IN SOLIDS. Materials often heat treated to improve properties. Atomic diffusion occurs during heat treatment

DIFFUSION IN SOLIDS. Materials often heat treated to improve properties. Atomic diffusion occurs during heat treatment DIFFUSION IN SOLIDS WHY STUDY DIFFUSION? Materials often heat treated to improve properties Atomic diffusion occurs during heat treatment Depending on situation higher or lower diffusion rates desired

More information

Understanding the p-n Junction by Dr. Alistair Sproul Senior Lecturer in Photovoltaics The Key Centre for Photovoltaic Engineering, UNSW

Understanding the p-n Junction by Dr. Alistair Sproul Senior Lecturer in Photovoltaics The Key Centre for Photovoltaic Engineering, UNSW Understanding the p-n Junction by Dr. Alistair Sproul Senior Lecturer in Photovoltaics The Key Centre for Photovoltaic Engineering, UNSW The p-n junction is the fundamental building block of the electronic

More information

Defects Introduction. Bonding + Structure + Defects. Properties

Defects Introduction. Bonding + Structure + Defects. Properties Defects Introduction Bonding + Structure + Defects Properties The processing determines the defects Composition Bonding type Structure of Crystalline Processing factors Defects Microstructure Types of

More information

Designing of Amorphous Silicon Solar Cells for Optimal Photovoltaic Performance

Designing of Amorphous Silicon Solar Cells for Optimal Photovoltaic Performance Designing of Amorphous Silicon Solar Cells for Optimal Photovoltaic Performance Latchiraju Pericherla A Thesis submitted in part fulfilment of the requirements for the degree of Master of Engineering School

More information

Photovoltaic System Technology

Photovoltaic System Technology Photovoltaic System Technology Photovoltaic Cells What Does Photovoltaic Mean? Solar electricity is created using photovoltaic cells (or PV cells). The word photovoltaic is made up of two words: photo

More information

Introduction to Thin Film Technology LOT. Chair of Surface and Materials Technology

Introduction to Thin Film Technology LOT. Chair of Surface and Materials Technology Introduction to Thin Film Introduction to Thin Film Verfahrenstechnik der Oberflächenmodifikationen Prof. Dr. Xin Jiang Lecture Institut für Werkstofftechnik der Uni-Siegen Sommersemester 2007 Introduction

More information

The interaction of Cu(100)-Fe surfaces with oxygen studied with photoelectron spectroscopy. I

The interaction of Cu(100)-Fe surfaces with oxygen studied with photoelectron spectroscopy. I 5 The interaction of Cu(100)-Fe surfaces with oxygen studied with photoelectron spectroscopy. I Mg Kα excited photoemission. Abstract The oxidation of Cu(100)-Fe surfaces was studied using XPS. Surfaces

More information

TDS. Dirk Rosenthal Department of Inorganic Chemistry Fritz-Haber-Institut der MPG Faradayweg 4-6, DE 14195 Berlin dirkrose@fhi-berlin.mpg.

TDS. Dirk Rosenthal Department of Inorganic Chemistry Fritz-Haber-Institut der MPG Faradayweg 4-6, DE 14195 Berlin dirkrose@fhi-berlin.mpg. Modern Methods in Heterogeneous Catalysis Research TDS Dirk Rosenthal Department of Inorganic Chemistry Fritz-Haber-Institut der MPG Faradayweg 4-6, DE 14195 Berlin dirkrose@fhi-berlin.mpg.de TDS = TPD

More information

Amorphous Silicon Carbide for Photovoltaic Applications

Amorphous Silicon Carbide for Photovoltaic Applications Amorphous Silicon Carbide for Photovoltaic Applications Dissertation zur Erlangung des akademischen Grades Doktor der Naturwissenschaften (Dr. rer. nat.) an der Universität Konstanz Fakultät für Physik

More information

NEUTRON TRANSMUTATION DOPED (NTD) SILICON FOR HIGH POWER ELECTRONICS

NEUTRON TRANSMUTATION DOPED (NTD) SILICON FOR HIGH POWER ELECTRONICS APPLICATION NOTE NEUTRON TRANSMUTATION DOPED (NTD) SILICON FOR HIGH POWER ELECTRONICS Sune Duun, Anne Nielsen, Christian Hendrichsen, Theis Sveigaard, Ole Andersen, Jarosław Jabłoński, and Leif Jensen

More information

How do single crystals differ from polycrystalline samples? Why would one go to the effort of growing a single crystal?

How do single crystals differ from polycrystalline samples? Why would one go to the effort of growing a single crystal? Crystal Growth How do single crystals differ from polycrystalline samples? Single crystal specimens maintain translational symmetry over macroscopic distances (crystal dimensions are typically 0.1 mm 10

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

Formation of solids from solutions and melts

Formation of solids from solutions and melts Formation of solids from solutions and melts Solids from a liquid phase. 1. The liquid has the same composition as the solid. Formed from the melt without any chemical transformation. Crystallization and

More information

Solar Energy Engineering

Solar Energy Engineering Online Training Modules in Photovoltaics Solar Energy Engineering Starting June 2, 2014 the University of Freiburg in cooperation with Fraunhofer will be offering free special training modules in Solar

More information

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob Chemical Sputtering von Kohlenstoff durch Wasserstoff W. Jacob Centre for Interdisciplinary Plasma Science Max-Planck-Institut für Plasmaphysik, 85748 Garching Content: Definitions: Chemical erosion, physical

More information

Electricity from PV systems how does it work?

Electricity from PV systems how does it work? Electricity from photovoltaic systems Bosch Solar Energy 2 Electricity from PV systems Electricity from PV systems how does it work? Photovoltaics: This is the name given to direct conversion of radiant

More information

OLED display. Ying Cao

OLED display. Ying Cao OLED display Ying Cao Outline OLED basics OLED display A novel method of fabrication of flexible OLED display Potentials of OLED Suitable for thin, lightweight, printable displays Broad color range Good

More information

Design of inductors and modeling of relevant field intensity

Design of inductors and modeling of relevant field intensity 3. Growth of shaped Si single crystals (FZ) Design of inductors and modeling of relevant field intensity Main cut Schematic of inductor for large square FZ crystals z-component of the field intensity for

More information

How MOCVD. Works Deposition Technology for Beginners

How MOCVD. Works Deposition Technology for Beginners How MOCVD Works Deposition Technology for Beginners Contents MOCVD for Beginners...3 MOCVD A Definition...4 Planetary Reactor Technology...5 Close Coupled Showerhead Technology...6 AIXTRON MOCVD Production

More information

2 Absorbing Solar Energy

2 Absorbing Solar Energy 2 Absorbing Solar Energy 2.1 Air Mass and the Solar Spectrum Now that we have introduced the solar cell, it is time to introduce the source of the energy the sun. The sun has many properties that could

More information

CHEMISTRY STANDARDS BASED RUBRIC ATOMIC STRUCTURE AND BONDING

CHEMISTRY STANDARDS BASED RUBRIC ATOMIC STRUCTURE AND BONDING CHEMISTRY STANDARDS BASED RUBRIC ATOMIC STRUCTURE AND BONDING Essential Standard: STUDENTS WILL UNDERSTAND THAT THE PROPERTIES OF MATTER AND THEIR INTERACTIONS ARE A CONSEQUENCE OF THE STRUCTURE OF MATTER,

More information

Semiconductors, diodes, transistors

Semiconductors, diodes, transistors Semiconductors, diodes, transistors (Horst Wahl, QuarkNet presentation, June 2001) Electrical conductivity! Energy bands in solids! Band structure and conductivity Semiconductors! Intrinsic semiconductors!

More information

Growth by the Heat Exchanger Method and Characterization of Multi-crystalline Silicon ingots for PV

Growth by the Heat Exchanger Method and Characterization of Multi-crystalline Silicon ingots for PV Rev. Energ. Ren. Vol. 8 (2005) 49-54 Growth by the Heat Exchanger Method and Characterization of Multi-crystalline Silicon ingots for PV D. Ouadjaout, Y. Gritli, L. Zair and M. Boumaour Unité de Développement

More information

IV.H.2 New York State Hi-Way Initiative*

IV.H.2 New York State Hi-Way Initiative* IV.H.2 New York State Hi-Way Initiative* Richard Bourgeois, P.E. General Electric Global Research 1 Research Circle Niskayuna NY 12309 Phone: (518) 387-4550; E-mail: richard.bourgeois@crd.ge.com DOE Technology

More information

Adsorption at Surfaces

Adsorption at Surfaces Adsorption at Surfaces Adsorption is the accumulation of particles (adsorbate) at a surface (adsorbent or substrate). The reverse process is called desorption. fractional surface coverage: θ = Number of

More information

Lecture 2 - Semiconductor Physics (I) September 13, 2005

Lecture 2 - Semiconductor Physics (I) September 13, 2005 6.012 - Microelectronic Devices and Circuits - Fall 2005 Lecture 2-1 Lecture 2 - Semiconductor Physics (I) September 13, 2005 Contents: 1. Silicon bond model: electrons and holes 2. Generation and recombination

More information

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING Gim S. Chen, Ismail Kashkoush, and Rich E. Novak AKrion LLC 633 Hedgewood Drive, #15 Allentown, PA 1816, USA ABSTRACT Ozone-based HF chemistry

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Photovoltaic Power: Science and Technology Fundamentals

Photovoltaic Power: Science and Technology Fundamentals Photovoltaic Power: Science and Technology Fundamentals Bob Clark-Phelps, Ph.D. Evergreen Solar, Inc. Renewable Energy Seminar, Nov. 2, 2006 Photovoltaic Principle Energy Conduction Band electron Energy

More information

INTRODUCTION TO ION IMPLANTATION Dr. Lynn Fuller, Dr. Renan Turkman Dr Robert Pearson

INTRODUCTION TO ION IMPLANTATION Dr. Lynn Fuller, Dr. Renan Turkman Dr Robert Pearson Ion Implantation ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING INTRODUCTION TO ION IMPLANTATION Dr. Lynn Fuller, Dr. Renan Turkman Dr Robert Pearson Webpage: http://people.rit.edu/lffeee

More information

Solar Energy. Solar Energy range. NSG TEC Pilkington Microwhite Pilkington Optiwhite Pilkington Sunplus

Solar Energy. Solar Energy range. NSG TEC Pilkington Microwhite Pilkington Optiwhite Pilkington Sunplus Solar Energy Solar Energy range NSG TEC Pilkington Microwhite Pilkington Optiwhite Pilkington Sunplus Moving from hydrocarbon dependency to renewable energy The use of solar energy glass and the NSG Group

More information

How To Make Thin Lm Crystalline Silicon Solar Cells

How To Make Thin Lm Crystalline Silicon Solar Cells A Review of Thin Film Crystalline Silicon for Solar Cell Applications. Part 1 : Native Substrates. Michelle J. M c Cann, Kylie R. Catchpole, Klaus J. Weber and Andrew W. Blakers Centre for Sustainable

More information

CHAPTER 7 THE DEHYDRATION AND SWEETENING OF NATURAL GAS

CHAPTER 7 THE DEHYDRATION AND SWEETENING OF NATURAL GAS CHAPTER 7 THE DEHYDRATION AND SWEETENING OF NATURAL GAS Natural gases either from natural production or storage reservoirs contain water, which condense and form solid gas hydrates to block pipeline flow

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Optimization and Modeling. of Photovoltaic Silicon. Crystallization Processes

Optimization and Modeling. of Photovoltaic Silicon. Crystallization Processes ISSCG 14 Dalian August 1-7, 2010 Optimization and Modeling of Photovoltaic Silicon Crystallization Processes Georg Müller Jochen Friedrich Fraunhofer Institute IISB, Erlangen (Germany) 1 Photovoltaic Power

More information

Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser

Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser Modification of Pd-H 2 and Pd-D 2 thin films processed by He-Ne laser V.Nassisi #, G.Caretto #, A. Lorusso #, D.Manno %, L.Famà %, G.Buccolieri %, A.Buccolieri %, U.Mastromatteo* # Laboratory of Applied

More information

Staff: 1277 including students and student assistants Annual Budget: 86,1 million euros, including investments. (December 2014)

Staff: 1277 including students and student assistants Annual Budget: 86,1 million euros, including investments. (December 2014) Fraunhofer Institute for Solar Energy Systems ISE A short overview The Institute The Fraunhofer Institute for Solar Energy Systems ISE is committed to promoting sustainable, economic, safe and socially

More information

Economic Mass Producible Mirror Panels for Solar Concentrators

Economic Mass Producible Mirror Panels for Solar Concentrators Abstract G, G. Burgess, K. Lovegrove and A. Luzzi Centre for Sustainable Energy Systems Australian National University, Canberra 2 Australia E-mail: Glen@faceng.anu.edu.au The Centre for Sustainable Energy

More information

RECENT TRENDS IN PHOTOVOLTAICS TECHNOLOGY: CRYSTALLINE WAFERS VERSUS FLEXIBLE THIN FILMS. Saleem H. Zaidi, Gratings, Inc., Nov.

RECENT TRENDS IN PHOTOVOLTAICS TECHNOLOGY: CRYSTALLINE WAFERS VERSUS FLEXIBLE THIN FILMS. Saleem H. Zaidi, Gratings, Inc., Nov. RECENT TRENDS IN PHOTOVOLTAICS TECHNOLOGY: CRYSTALLINE WAFERS VERSUS FLEXIBLE THIN FILMS OUTLINE Saleem H. Zaidi, Gratings, Inc., Nov. 6, 2008 Motivation Silicon PV Technology Growth and Trends Conventional

More information

STM, LEED and Mass spectrometry

STM, LEED and Mass spectrometry STM, LEED and Mass spectrometry R. Schloderer, S. Griessl, J. Freund, M. Edelwirth, W.M. Heckl Introduction TDS UHV technique Preparation STM LEED QMS Concept of new UHV chamber Conclusion P. Cole, M.

More information

Introduction OLEDs OTFTs OPVC Summary. Organic Electronics. Felix Buth. Walter Schottky Institut, TU München. Joint Advanced Student School 2008

Introduction OLEDs OTFTs OPVC Summary. Organic Electronics. Felix Buth. Walter Schottky Institut, TU München. Joint Advanced Student School 2008 Felix Buth Joint Advanced Student School 2008 Outline 1 Introduction Difference organic/inorganic semiconductors From molecular orbitals to the molecular crystal 2 Organic Light Emitting Diodes Basic Principals

More information

EXAMPLE EXERCISE 4.1 Change of Physical State

EXAMPLE EXERCISE 4.1 Change of Physical State EXAMPLE EXERCISE 4.1 Change of Physical State State the term that applies to each of the following changes of physical state: (a) Snow changes from a solid to a liquid. (b) Gasoline changes from a liquid

More information

Lecture: 33. Solidification of Weld Metal

Lecture: 33. Solidification of Weld Metal Lecture: 33 Solidification of Weld Metal This chapter presents common solidification mechanisms observed in weld metal and different modes of solidification. Influence of welding speed and heat input on

More information

The Status and Outlook for the Photovoltaics Industry. David E. Carlson March 14, 2006

The Status and Outlook for the Photovoltaics Industry. David E. Carlson March 14, 2006 The Status and Outlook for the Photovoltaics Industry David E. Carlson March 14, 2006 Outline of the Talk The PV Market The Major Players Different Types of Solar Cells Field Installations Performance

More information

Chapter Outline Dislocations and Strengthening Mechanisms

Chapter Outline Dislocations and Strengthening Mechanisms Chapter Outline Dislocations and Strengthening Mechanisms What is happening in material during plastic deformation? Dislocations and Plastic Deformation Motion of dislocations in response to stress Slip

More information