Lab 5: Design Layout With Cadence Virtuoso 9/28/99 to 10/4/99

Size: px
Start display at page:

Download "Lab 5: Design Layout With Cadence Virtuoso 9/28/99 to 10/4/99"

Transcription

1 Lab 5: Design Layout With Cadence Virtuoso 9/28/99 to 10/4/99 I. Objective To use Cadence Virtuoso to create a CMOS layout, and use the Cadence tools to verify this layout. Specifically, in this lab you will: a) Do pre-layout simulations, using Verilog and Spectre. b) Layout a circuit using Cadence Virtuoso. c) Use the Design Rule Checker to check for errors in the layout. d) Perform extraction on the layout and use the Layout Vs. Schematic tool to verify that the layout matches the circuit schematic. e) Use the extracted netlist of the layout to perform post-layout simulation. There will be a lab report due for this lab. It is due 1 week from today, before the start of Lab 6. You do not need to have anything checked off by the TAs for this lab. II. Setup Copy the latest version of.cdsenv to your home directory: cp /afs/ece/class/ece322/std/cdsenv.std ~/.cdsenv (Note: You can cut and paste command lines and other text from the online version of this handout into shell windows and Cadence windows). Delete old copy of.cdsenv in your ~/cds directory, if present: rm ~/cds/.cdsenv Important: To use Cadence for this lab, and anytime you need to do layout, use the command icfb & to start the Cadence tools. Once you have started Cadence as shown above, create a new library for this lab in your ~/cds/cdslib directory, as usual. Select the Attach to an Existing Techfile option and make sure the Technology File option in the next dialog box is set to ECE322HP. Use the Library Manager to copy the following cells (all views) from the ECE322HP library to your library for this lab: inv_example, inv_sim, nor2

2 III. Pre-Layout Verilog Simulation Open the schematic view for the inv_example cell. The schematic can be seen below in Figure 1. While this is the standard inverter schematic that you have seen many times this semester, there a few important details to note. First, the NFET and PFET are from the ECE322HP library, symbol view. The models used are cmosn and cmosp, and the length and width are specified as shown. Note that for this process, the minimum drawn feature size is 0.4 µm, and thus this is the minimum transistor dimension. (The HP process we are using is called a 0.35 µm process because that is size of the minimum device gate after fabrication.) The pins A and Y are the input and output pins of the inverter, respectively. Instead of using a VDD symbol, a pin of type inputoutput and the label vdd! is used. A standard gnd symbol is used for ground. You will need to do your pre-layout schematics the same as this example schematic for the LVS tool to work correctly. Figure 1. Inverter Schematic. Perform a Verilog simulation of the inverter as you did in Labs 1 and 2. (Note: This symbol will used to indicate where links to the lab manual can be found in the on-line version of this lab handout). IV. Pre-Layout Spectre Simulation To perform a Spectre simulation of your circuit, create a symbol view. Close the symbol view and schematic view. Open the schematic view of the cell inv_sim, which you copied at the beginning of the lab. Insert the inverter symbol that you just created in this schematic, connecting it between the top of the capacitor and the top terminal of V0. The completed schematic should look like Figure 2.

3 Figure 2. Inverter Test Schematic. Use Spectre to perform a DC analysis of this circuit as you sweep V0 from 0 to 3 V. VTC looks correct, but you do not have to print this graph. Verify that this Next, replace V0 with a vpulse source and perform a transient analysis as you did in Lab 4. Use the parameters for vpulse shown below and run the analysis for 250ns. Print out a graph of the transient analysis simulation showing both the input and output waveforms. You may need to print out another plot of a zoomed-in portion of the waveforms, as you will need to measure the gate delay. Voltage 1 Voltage 2 Delay Time Rise Time Fall Time Pulse Width Period DCVoltage 0 V 3 V 10 ns 0.1 ns 0.1 ns 50 ns 100 ns 0 V V. Inverter Layout Using Virtuoso Now that you know that you have a working circuit, you can lay it out. Open the schematic view of inv_example so that you can refer to it as you do your layout. Most of the work for the inverter layout has been done for you. Open the layout view of the cell inv_example. It should look like Figure 3. The Virtuoso window will open, as well as another window containing a palette of all of the different layers you can use in your layout. This window is called the Layer Selection Window (LSW). By selecting a layer in the LSW, you can create rectangles of that layer in the Virtuoso window by selecting Create Rectangle from the Virtuoso window or by hitting the r key. The dx and dy indicators above the layout window show the size of the rectangles that you create. You will build your layouts by drawing rectangles of the appropriate layers. Information on these and other layout commands can be found in the lab manual. Refer to the layout lecture slides on the web for pictures of the inverter layout, in addition to the ones in this lab. As you go through the layout, if you are unsure what you are doing is allowed by the process rules, you can run the Design Rule Checker as described in Section VI.

4 Important: Note that many layers have two types in the LSW, [dg] and [pn]. [dg] indicates layers used for drawing. You will use these layers almost all of the time. The [pn] layers are used only for creating pins. Except where told otherwise, you will be using only the [dg] layers for the inverter layout. Figures 3, 4,5. To complete the inverter layout, follow these steps, referring to the figures indicated: 1) Add Poly (0.4 wide) to connect the gates of the NFET and PFET. (Figure 4) It is okay if your poly overlaps the poly that forms the gates, but it should be the same width. 2) Add Poly to connect the input pin to the gate connection. (Figure 5). 3) Add Metal1 to connect the NFET and PFET drains. (Figure 6). 4) Connect the left side of the N-Island to the Metal1 by creating a contact. This requires a.9 by.9 square of N-Island, on top of a.9 by.9 square of Metal1, with a.5 by.5 Contact layer centered between them. (Figure 7). 5) Add a substrate contact by adding a.9 by.9 square of P-Island and a.5 by.5 Contact. (Figure 8) Figures 6,7,8.

5 6) Add an output connection to Metal2 by adding a.5 x.5 via and a.9 x.9 square of Metal2 to the Metal1 connecting the drains. (Figure 9). 7) Identify the power connections, vdd! and gnd! by adding pins using the Create pin command. In the Create Pin dialog box, select the shape pin type. Enter the pin name in the Terminal Name box, and make sure that the I/O type is inputoutput. Check the Create Label box. Make sure that Metal1 [pn] is selected in the LSW and draw.7 x.7 square pins on the rails for power and ground. (Figure 10). 8) Add the input and output pins, A and Y, in Metal2 [pn], using Create pin as above. Make sure to use the correct I/O type for the pin that you creating. (Figure 11). Your finished inverter should look like the one shown in lecture and on the web. (See the online version of this lab also). VI. Design Rule Check Figures 9,10,11. As you go through the layout, and when you are done, you should run the Design Rule Checker and make sure that you are not violating any process rules. Virtuoso does not check your layout for errors when you save it, so you must run DRC to verify that there are no errors. Any errors that you find can be looked up in the process rule books which can be found in the lab, if the error message and markers do not give you enough information. You can use the ruler command, k, to measure parts of your design. Use K to clear the rulers from your design. VII. Extraction Once your design is complete and passes DRC, you can extract the design. Extraction looks at the layout and generates a netlist based on it, including any parasitic capacitances, and resistances, if desired. Running extraction (do not extract resistances at this point) will create a new view called extracted.

6 Open this view. It looks like your layout with all of the connected rectangular regions of the same layers merged together. You will also see labeled blocks for pfets and nfets, as well as capacitors. If you press shift-f, you can see the symbols for the extracted devices on the extracted view. Unfortunately, the extracted components do not line up very well with the layout when viewed this way. This is a known bug in Cadence, but it does not affect the accuracy of the actual extracted netlist, only the visual representation. VIII. Layout Vs. Schematic Using the information from the extraction, the Layout Vs. Schematic (LVS) tool can compare your original schematic to the actual design as laid out. Use the LVS tool to compare the inv_example schematic view and the inv_example extracted view. The message stating that LVS succeeded means only that the LVS process completed, not that the netlists match. Make sure that the output from LVS says that the netlists match, meaning that your layout and your schematic match. If they do not match, use the error messages to determine why not, and fix your layout so that the LVS gives the correct answer. You should have at least one mismatch; the PFET gate width does not match the PFET width in the schematic. Fix this problem in the layout. Extract and run LVS again. IX. Post-Layout Simulation With Spectre Once your design passes LVS, you can simulate the extracted netlist using Spectre. First, run the extraction tool again, only this time set the switch to extract resistances. (If you extract resistances and then try to do LVS, you will get errors, so do LVS first, and re-run the extraction to get the resistances). Open the Analog Artist window for the inverter test schematic. Rerun the VTS simulation of the pre-layout schematic. Then select Setup Environment from the menu. Change the netlist type from flat to hierarchical. In the Switch View List field, enter the word extracted as the very first item in the list. This will tell the simulator to use your extracted netlist for simulation, instead of the schematic. (Remove the word extracted when you want to simulate the schematic again.) Click OK in the environment window and create new raw and final netlists. Note the parasitic resistances and capacitances in the extracted netlist. Set the plotting options to overlay the plots, and simulate the VTC of the extracted netlist. You should have both the pre- and post-layout VTC curves on the same graph. Label and print out this graph. Repeat the post-layout simulation for transient analysis and print out the transient analysis graph, showing both the input and output waveforms. You may need to print out another plot of a zoomed-in portion of the waveforms, as you will need to measure the gate delay.

7 X. NOR Gate Layout Open the schematic view for the nor2 cell that you copied earlier in the lab. You will create a layout for this circuit, performing the same procedures in sections III-IX that you did for the inverter. Specifically, you must: 1. Perform a pre-layout Verilog simulation of the NOR gate. Record the signals and create a waveform showing the NOR gate behavior. Print this waveform. 2. Make a symbol of the NOR gate and use it to create a test schematic, like the one you used for the inverter. Use it to perform a pre-layout Spectre simulation of the NOR gate. Create a VTC showing the output changing from high to low or low to high as one of the inputs changes. Then perform a transient analysis, and print a plot showing the input and output curves on the same graph. You may need to print out another plot of a zoomed-in portion of the waveforms, as you will need to measure the gate delay. 3. Make a layout of the NOR gate. Use Metal2 contacts for the inputs and outputs, as you did for the inverter. As you work on the layout, use DRC to check the correctness of your work. 4. Extract the layout (without resistances) and run LVS. Make sure that the extracted netlist matches the schematic. Use the File Save As command in the LVS output window to save the LVS output as a file. Print this file out. 5. Print out your layout using the Design Plot command from the Virtuoso window. Print to a color printer if possible, or color and/or label your printout so that the different layers can be distinguished. 6. Extract the layout again, this time using the switch to extract resistances. Then simulate, using Spectre, the same output change as you did in part 2, above. Create a plot showing both the prelayout and post-layout VTCs on the same graph. Then create a transient analysis, showing the input and output curves on the same graph. You may need to print out another plot of a zoomed-in portion of the waveforms, as you will need to measure the gate delay. XI. Questions (A) Draw two non-trivial cross-sections through your NOR gate layout, as you did in HW3. (You have an additional metal layer to deal with, but you should be able to figure out how to represent this). Show the lines along which you are drawing the cross-sections on your layout. Make sure that every layer in your layout is shown at least once in your cross sections. (B). Compare the VTCs of the NOR gate obtained pre- and post-layout. Describe and explain any differences, even minor ones, between the curves. (C). Measure the gate delay obtained from the transient analyses performed pre- and post-layout. Explain any differences.

8 XII. Lab Writeup and Grading Breakdown The Lab report is due 1 week from today before the start of Lab 6. Report requirements: Introduction State your interpretation of the purpose and objectives of this lab. Procedure / Results Explanation of how you arrived at your results. Include printouts of the schematic and layout that you built. Include any hand calculations and equations used. Also include any graphs (complete with titles and axis labeling). Analysis Answer the questions given throughout the lab handout. Show that you understand all of the concepts. Point Breakdown: This lab is worth a total of 140 points. The breakdown is as follows: Style (neat, orderly report) (10 points) Introduction (5 points) Pre-layout Verilog simulation (5 points) Pre-layout transient analysis plot (5 points) Correct LVS output printout. (5 points) Layout plot. It must be clear which layers are where. (45 points) VTC plot showing both pre- and post-layout response. (5 points) Post-layout transient analysis plot. (5 points) Cross sections. (25 points) Comparison of VTCs. (15 points) Calculation and comparison of pre- and post-layout transient response. (15 points).

CADENCE LAYOUT TUTORIAL

CADENCE LAYOUT TUTORIAL CADENCE LAYOUT TUTORIAL Creating Layout of an inverter from a Schematic: Open the existing Schematic Page 1 From the schematic editor window Tools >Design Synthesis >Layout XL A window for startup Options

More information

Document Contents Introduction Layout Extraction with Parasitic Capacitances Timing Analysis DC Analysis

Document Contents Introduction Layout Extraction with Parasitic Capacitances Timing Analysis DC Analysis Cadence Tutorial C: Simulating DC and Timing Characteristics Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group rev S06 (convert to spectre simulator) Document Contents Introduction

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Jan M. Rabaey Homework #1: Circuit Simulation EECS 141 Due Friday, January 30, 5pm, box in 240

More information

Royal Military College of Canada

Royal Military College of Canada Microelectronics Lab Cadence Tutorials Layout Design and Simulation (Using Virtuoso / Diva / Analog Artist) Department of Electrical & Computer Engineering Royal Military College of Canada Cadence University

More information

Getting Started with the Cadence Software

Getting Started with the Cadence Software 1 Getting Started with the Cadence Software In this chapter, you learn about the Cadence software environment and the Virtuoso layout editor as you do the following tasks: Copying the Tutorial Database

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

ETIN25 Analogue IC Design. Laboratory Manual Lab 1

ETIN25 Analogue IC Design. Laboratory Manual Lab 1 Department of Electrical and Information Technology LTH ETIN25 Analogue IC Design Laboratory Manual Lab 1 Jonas Lindstrand Martin Liliebladh Markus Törmänen September 2011 Laboratory 1: Cadence, DC parameters

More information

Lab 3 Layout Using Virtuoso Layout XL (VXL)

Lab 3 Layout Using Virtuoso Layout XL (VXL) Lab 3 Layout Using Virtuoso Layout XL (VXL) This Lab will go over: 1. Creating layout with Virtuoso layout XL (VXL). 2. Transistor Chaining. 3. Creating Standard cell. 4. Manual Routing 5. Providing Substrate

More information

Op-Amp Simulation EE/CS 5720/6720. Read Chapter 5 in Johns & Martin before you begin this assignment.

Op-Amp Simulation EE/CS 5720/6720. Read Chapter 5 in Johns & Martin before you begin this assignment. Op-Amp Simulation EE/CS 5720/6720 Read Chapter 5 in Johns & Martin before you begin this assignment. This assignment will take you through the simulation and basic characterization of a simple operational

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

EE 242 EXPERIMENT 5: COMPUTER SIMULATION OF THREE-PHASE CIRCUITS USING PSPICE SCHEMATICS 1

EE 242 EXPERIMENT 5: COMPUTER SIMULATION OF THREE-PHASE CIRCUITS USING PSPICE SCHEMATICS 1 EE 242 EXPERIMENT 5: COMPUTER SIMULATION OF THREE-PHASE CIRCUITS USING PSPICE SCHEMATICS 1 Objective: To build, simulate, and analyze three-phase circuits using OrCAD Capture Pspice Schematics under balanced

More information

Lab 1: Introduction to PSpice

Lab 1: Introduction to PSpice Lab 1: Introduction to PSpice Objectives A primary purpose of this lab is for you to become familiar with the use of PSpice and to learn to use it to assist you in the analysis of circuits. The software

More information

Copyright 2011 Linear Technology. All rights reserved.

Copyright 2011 Linear Technology. All rights reserved. Copyright. All rights reserved. LTspice IV Getting Started Guide 2 Benefits of Using LTspice IV Stable SPICE circuit simulation with Unlimited number of nodes Schematic/symbol editor Waveform viewer Library

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

FREE FALL. Introduction. Reference Young and Freedman, University Physics, 12 th Edition: Chapter 2, section 2.5

FREE FALL. Introduction. Reference Young and Freedman, University Physics, 12 th Edition: Chapter 2, section 2.5 Physics 161 FREE FALL Introduction This experiment is designed to study the motion of an object that is accelerated by the force of gravity. It also serves as an introduction to the data analysis capabilities

More information

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu

Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization. TingTing Hwang Tsing Hua University, Hsin-Chu Reconfigurable ECO Cells for Timing Closure and IR Drop Minimization TingTing Hwang Tsing Hua University, Hsin-Chu 1 Outline Introduction Engineering Change Order (ECO) Voltage drop (IR-DROP) New design

More information

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification Advanced design simulation for fast and accurate verification The Cadence Virtuoso Analog Design Environment family of products provides a comprehensive array of capabilities for the electrical analysis

More information

Excel -- Creating Charts

Excel -- Creating Charts Excel -- Creating Charts The saying goes, A picture is worth a thousand words, and so true. Professional looking charts give visual enhancement to your statistics, fiscal reports or presentation. Excel

More information

Executive Summary. Table of Contents

Executive Summary. Table of Contents Executive Summary How to Create a Printed Circuit Board (PCB) Department of Electrical & Computer Engineering Michigan State University Prepared by: John Kelley Revision: 4/06/00 This application note

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

Experiment 8 : Pulse Width Modulation

Experiment 8 : Pulse Width Modulation Name/NetID: Teammate/NetID: Experiment 8 : Pulse Width Modulation Laboratory Outline In experiment 5 we learned how to control the speed of a DC motor using a variable resistor. This week, we will learn

More information

CMOS VLSI Design Lab 1: Cell Design and Verification

CMOS VLSI Design Lab 1: Cell Design and Verification CMOS VLSI Design Lab 1: Cell Design and Verification This is the first of four chip design labs developed at Harvey Mudd College. These labs are intended to be used in conjunction with CMOS VLSI Design,

More information

LAB 7 MOSFET CHARACTERISTICS AND APPLICATIONS

LAB 7 MOSFET CHARACTERISTICS AND APPLICATIONS LAB 7 MOSFET CHARACTERISTICS AND APPLICATIONS Objective In this experiment you will study the i-v characteristics of an MOS transistor. You will use the MOSFET as a variable resistor and as a switch. BACKGROUND

More information

Altium Designer Guide

Altium Designer Guide Electronics and Computer Systems Engineering Altium Designer Guide Tutorial part 2 PCB Design This is Part 2 of a beginner s guide to PCB design using Altium Designer and is geared towards the following

More information

Lab 3: Introduction to Data Acquisition Cards

Lab 3: Introduction to Data Acquisition Cards Lab 3: Introduction to Data Acquisition Cards INTRODUCTION: In this lab, you will be building a VI to display the input measured on a channel. However, within your own VI you will use LabVIEW supplied

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

Lab 1: Full Adder 0.0

Lab 1: Full Adder 0.0 Lab 1: Full Adder 0.0 Introduction In this lab you will design a simple digital circuit called a full adder. You will then use logic gates to draw a schematic for the circuit. Finally, you will verify

More information

Designing a Schematic and Layout in PCB Artist

Designing a Schematic and Layout in PCB Artist Designing a Schematic and Layout in PCB Artist Application Note Max Cooper March 28 th, 2014 ECE 480 Abstract PCB Artist is a free software package that allows users to design and layout a printed circuit

More information

CHAPTER 11: Flip Flops

CHAPTER 11: Flip Flops CHAPTER 11: Flip Flops In this chapter, you will be building the part of the circuit that controls the command sequencing. The required circuit must operate the counter and the memory chip. When the teach

More information

Experiment #11: LRC Circuit (Power Amplifier, Voltage Sensor)

Experiment #11: LRC Circuit (Power Amplifier, Voltage Sensor) Experiment #11: LRC Circuit (Power Amplifier, Voltage Sensor) Concept: circuits Time: 30 m SW Interface: 750 Windows file: RLC.SWS EQUIPMENT NEEDED Science Workshop Interface Power Amplifier (2) Voltage

More information

ε: Voltage output of Signal Generator (also called the Source voltage or Applied

ε: Voltage output of Signal Generator (also called the Source voltage or Applied Experiment #10: LR & RC Circuits Frequency Response EQUIPMENT NEEDED Science Workshop Interface Power Amplifier (2) Voltage Sensor graph paper (optional) (3) Patch Cords Decade resistor, capacitor, and

More information

Microsoft Office 2007 Orientation Objective 1: Become acquainted with the Microsoft Office Suite 2007 Layout

Microsoft Office 2007 Orientation Objective 1: Become acquainted with the Microsoft Office Suite 2007 Layout Microsoft Office 2007 Orientation Objective 1: Become acquainted with the Microsoft Office Suite 2007 Layout Microsoft Suite 2007 offers a new user interface. The top portion of the window has a new structure

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

EXCEL Tutorial: How to use EXCEL for Graphs and Calculations.

EXCEL Tutorial: How to use EXCEL for Graphs and Calculations. EXCEL Tutorial: How to use EXCEL for Graphs and Calculations. Excel is powerful tool and can make your life easier if you are proficient in using it. You will need to use Excel to complete most of your

More information

PCB Design with Altium: Schematic Entry, Libraries, and Designing Components

PCB Design with Altium: Schematic Entry, Libraries, and Designing Components PCB Design with Altium: Schematic Entry, Libraries, and Designing Components Alex Fosdick Capstone Senior Design Instructor: Tom Brown Edited: Jan 30th 2011 Description: This document is the first of two

More information

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i Layout and Cross-section of an inverter Lecture 5 A Layout Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London V DD Q p A V i V o URL: www.ee.ic.ac.uk/pcheung/

More information

Creating a new project: Choose File> New Project. A dialog box appears and asking about the work directory that by default

Creating a new project: Choose File> New Project. A dialog box appears and asking about the work directory that by default Advanced Design System (ADS) Tutorial: ADS is a simulator like spice, cadence. But it focuses on the RF and microwave design, so most of its devices on the library are microwave devices. Circuit Simulation:

More information

KiCad Step by Step Tutorial

KiCad Step by Step Tutorial KiCad Step by Step Tutorial Copyright 2006 David Jahshan: kicad at iridec.com.au 2011 Update Copyright 2011 Phil Hutchinson Copyright: Please freely copy and distribute (sell or give away) this document

More information

Excel 2007 Basic knowledge

Excel 2007 Basic knowledge Ribbon menu The Ribbon menu system with tabs for various Excel commands. This Ribbon system replaces the traditional menus used with Excel 2003. Above the Ribbon in the upper-left corner is the Microsoft

More information

Lab 7: Operational Amplifiers Part I

Lab 7: Operational Amplifiers Part I Lab 7: Operational Amplifiers Part I Objectives The objective of this lab is to study operational amplifier (op amp) and its applications. We will be simulating and building some basic op amp circuits,

More information

Circuit Simulation: Here are some of ADS analysis:

Circuit Simulation: Here are some of ADS analysis: Advanced Design System (ADS) Tutorial: ADS is a simulator like spice, cadence. But it focuses on the RF and microwave design, so most of its devices on the library are microwave devices. Circuit Simulation:

More information

Publisher 2010 Cheat Sheet

Publisher 2010 Cheat Sheet April 20, 2012 Publisher 2010 Cheat Sheet Toolbar customize click on arrow and then check the ones you want a shortcut for File Tab (has new, open save, print, and shows recent documents, and has choices

More information

Tanner EDA L-edit (Layout Editor)

Tanner EDA L-edit (Layout Editor) Tanner EDA L-edit (Layout Editor) Tanner Tools Speeding Concept to Silicon EDA= Electronic Design and Automation NOTE: This tutorial was constructed in L-edit version 1.15 (c. October 2007) http://www.tanner.com/eda/

More information

Application Note: PCB Design By: Wei-Lung Ho

Application Note: PCB Design By: Wei-Lung Ho Application Note: PCB Design By: Wei-Lung Ho Introduction: A printed circuit board (PCB) electrically connects circuit components by routing conductive traces to conductive pads designed for specific components

More information

SIMULATIONS OF PARALLEL RESONANT CIRCUIT POWER ELECTRONICS COLORADO STATE UNIVERSITY

SIMULATIONS OF PARALLEL RESONANT CIRCUIT POWER ELECTRONICS COLORADO STATE UNIVERSITY SIMULATIONS OF PARALLEL RESONANT CIRCUIT POWER ELECTRONICS COLORADO STATE UNIVERSITY Page 1 of 25 PURPOSE: The purpose of this lab is to simulate the LCC circuit using MATLAB and ORCAD Capture CIS to better

More information

Step Response of RC Circuits

Step Response of RC Circuits Step Response of RC Circuits 1. OBJECTIVES...2 2. REFERENCE...2 3. CIRCUITS...2 4. COMPONENTS AND SPECIFICATIONS...3 QUANTITY...3 DESCRIPTION...3 COMMENTS...3 5. DISCUSSION...3 5.1 SOURCE RESISTANCE...3

More information

PSPICE TUTORIAL (BASIC)

PSPICE TUTORIAL (BASIC) Department of Electrical & Computer Engineering PSPICE TUTORIAL (BASIC) Professor: Dr. Subbarao V. Wunnava Teaching Assistant: Rafael Romero COURTESY: ED LULE/ BORIS LINO/ORCAD Updated: Spring.2006, 07

More information

IL2225 Physical Design

IL2225 Physical Design IL2225 Physical Design Nasim Farahini farahini@kth.se Outline Physical Implementation Styles ASIC physical design Flow Floor and Power planning Placement Clock Tree Synthesis Routing Timing Analysis Verification

More information

An Advanced Behavioral Buffer Model With Over-Clocking Solution. Yingxin Sun, Joy Li, Joshua Luo IBIS Summit Santa Clara, CA Jan.

An Advanced Behavioral Buffer Model With Over-Clocking Solution. Yingxin Sun, Joy Li, Joshua Luo IBIS Summit Santa Clara, CA Jan. An Advanced Behavioral Buffer Model With Over-Clocking Solution Yingxin Sun, Joy Li, Joshua Luo IBIS Summit Santa Clara, CA Jan. 31, 2014 Agenda 1. SPICE Model and Behavioral Buffer Model 2. Over-Clocking

More information

Measuring Electric Phenomena: the Ammeter and Voltmeter

Measuring Electric Phenomena: the Ammeter and Voltmeter Measuring Electric Phenomena: the Ammeter and Voltmeter 1 Objectives 1. To understand the use and operation of the Ammeter and Voltmeter in a simple direct current circuit, and 2. To verify Ohm s Law for

More information

Jianjian Song LogicWorks 4 Tutorials (5/15/03) Page 1 of 14

Jianjian Song LogicWorks 4 Tutorials (5/15/03) Page 1 of 14 LogicWorks 4 Tutorials Jianjian Song Department of Electrical and Computer Engineering Rose-Hulman Institute of Technology March 23 Table of Contents LogicWorks 4 Installation and update...2 2 Tutorial

More information

Beckman Coulter DTX 880 Multimode Detector Bergen County Technical Schools Stem Cell Lab

Beckman Coulter DTX 880 Multimode Detector Bergen County Technical Schools Stem Cell Lab Beckman Coulter DTX 880 Multimode Detector Bergen County Technical Schools Stem Cell Lab Room 213 Beckman Coulter DTX 880 Multimode Detector Information The Beckman Coulter DTX 880 Multimode Detector is

More information

Laboratory 4: Feedback and Compensation

Laboratory 4: Feedback and Compensation Laboratory 4: Feedback and Compensation To be performed during Week 9 (Oct. 20-24) and Week 10 (Oct. 27-31) Due Week 11 (Nov. 3-7) 1 Pre-Lab This Pre-Lab should be completed before attending your regular

More information

LM 358 Op Amp. If you have small signals and need a more useful reading we could amplify it using the op amp, this is commonly used in sensors.

LM 358 Op Amp. If you have small signals and need a more useful reading we could amplify it using the op amp, this is commonly used in sensors. LM 358 Op Amp S k i l l L e v e l : I n t e r m e d i a t e OVERVIEW The LM 358 is a duel single supply operational amplifier. As it is a single supply it eliminates the need for a duel power supply, thus

More information

University of Arkansas Libraries ArcGIS Desktop Tutorial. Section 2: Manipulating Display Parameters in ArcMap. Symbolizing Features and Rasters:

University of Arkansas Libraries ArcGIS Desktop Tutorial. Section 2: Manipulating Display Parameters in ArcMap. Symbolizing Features and Rasters: : Manipulating Display Parameters in ArcMap Symbolizing Features and Rasters: Data sets that are added to ArcMap a default symbology. The user can change the default symbology for their features (point,

More information

Multiplexers Two Types + Verilog

Multiplexers Two Types + Verilog Multiplexers Two Types + Verilog ENEE 245: Digital Circuits and ystems Laboratory Lab 7 Objectives The objectives of this laboratory are the following: To become familiar with continuous ments and procedural

More information

Lab 1: Introduction to Xilinx ISE Tutorial

Lab 1: Introduction to Xilinx ISE Tutorial Lab 1: Introduction to Xilinx ISE Tutorial This tutorial will introduce the reader to the Xilinx ISE software. Stepby-step instructions will be given to guide the reader through generating a project, creating

More information

Tutorial 2: Using Excel in Data Analysis

Tutorial 2: Using Excel in Data Analysis Tutorial 2: Using Excel in Data Analysis This tutorial guide addresses several issues particularly relevant in the context of the level 1 Physics lab sessions at Durham: organising your work sheet neatly,

More information

RF Energy Harvesting Circuits

RF Energy Harvesting Circuits RF Energy Harvesting Circuits Joseph Record University of Maine ECE 547 Fall 2011 Abstract This project presents the design and simulation of various energy harvester circuits. The overall design consists

More information

Lab #9: AC Steady State Analysis

Lab #9: AC Steady State Analysis Theory & Introduction Lab #9: AC Steady State Analysis Goals for Lab #9 The main goal for lab 9 is to make the students familar with AC steady state analysis, db scale and the NI ELVIS frequency analyzer.

More information

ECE 410: VLSI Design Course Introduction

ECE 410: VLSI Design Course Introduction ECE 410: VLSI Design Course Introduction Professor Andrew Mason Michigan State University Spring 2008 ECE 410, Prof. A. Mason Lecture Notes Page i.1 Age of electronics microcontrollers, DSPs, and other

More information

Route Power 10 Connect Powerpin 10.1 Route Special Route 10.2 Net(s): VSS VDD

Route Power 10 Connect Powerpin 10.1 Route Special Route 10.2 Net(s): VSS VDD SOCE Lab (2/2): Clock Tree Synthesis and Routing Lab materials are available at ~cvsd/cur/soce/powerplan.tar.gz Please untar the file in the folder SOCE_Lab before lab 1 Open SOC Encounter 1.1 % source

More information

SAS/GRAPH 9.2 ODS Graphics Editor. User s Guide

SAS/GRAPH 9.2 ODS Graphics Editor. User s Guide SAS/GRAPH 9.2 ODS Graphics Editor User s Guide The correct bibliographic citation for this manual is as follows: SAS Institute Inc. 2009. SAS/GRAPH 9.2: ODS Graphics Editor User's Guide. Cary, NC: SAS

More information

LAB VIII. BIPOLAR JUNCTION TRANSISTOR CHARACTERISTICS

LAB VIII. BIPOLAR JUNCTION TRANSISTOR CHARACTERISTICS LAB VIII. BIPOLAR JUNCTION TRANSISTOR CHARACTERISTICS 1. OBJECTIVE In this lab, you will study the DC characteristics of a Bipolar Junction Transistor (BJT). 2. OVERVIEW In this lab, you will inspect the

More information

A Guide to Using Excel in Physics Lab

A Guide to Using Excel in Physics Lab A Guide to Using Excel in Physics Lab Excel has the potential to be a very useful program that will save you lots of time. Excel is especially useful for making repetitious calculations on large data sets.

More information

VHDL Test Bench Tutorial

VHDL Test Bench Tutorial University of Pennsylvania Department of Electrical and Systems Engineering ESE171 - Digital Design Laboratory VHDL Test Bench Tutorial Purpose The goal of this tutorial is to demonstrate how to automate

More information

Introduction to LTspice IV Workshop

Introduction to LTspice IV Workshop Introduction to LTspice IV Workshop Presented by: Steve Knudtsen FAE Linear Technology sknudtsen@linear.com Copyright 2009 Linear Technology. All rights reserved. Why Use LTspice? Stable SPICE circuit

More information

IIB. Complete PCB Design Using OrCAD Capture and PCB Editor. Kraig Mitzner. ~»* ' AMSTERDAM BOSTON HEIDELBERG LONDON ^ i H

IIB. Complete PCB Design Using OrCAD Capture and PCB Editor. Kraig Mitzner. ~»* ' AMSTERDAM BOSTON HEIDELBERG LONDON ^ i H Complete PCB Design Using OrCAD Capture and PCB Editor Kraig Mitzner IIB ~»* ' AMSTERDAM BOSTON HEIDELBERG LONDON ^ i H NEW YORK * OXFORD PARIS SAN DIEGO ШШЯтИ' ELSEVIER SAN FRANCISCO SINGAPORE SYDNEY

More information

Electronics 5: Arduino, PWM, Mosfetts and Motors

Electronics 5: Arduino, PWM, Mosfetts and Motors BIOE 123 Module 6 Electronics 5: Arduino, PWM, Mosfetts and Motors Lecture (30 min) Date Learning Goals Learn about pulse width modulation (PWM) as a control technique Learn how to use a Mosfets to control

More information

PCB Artist Tutorial:

PCB Artist Tutorial: Derek Brower browerde@msu.edu Capstone Design Team 6 PCB Artist Tutorial: Printed Circuit Board Design Basics N o v e m b e r 1 4, 2 0 1 2 P C B B a s i c s P a g e 1 Abstract PCB Artist is a schematic

More information

Royal Military College of Canada

Royal Military College of Canada Microelectronics Lab Cadence Tutorials Schematic Entry & Digital Simulation (Using Composer / Verilog-XL / Simwave) Department of Electrical & Computer Engineering Royal Military College of Canada Cadence

More information

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER OBJECTIVES 1. Learn the basic elements of VHDL that are implemented in Warp. 2. Build a simple application using VHDL and

More information

Lab 3 - DC Circuits and Ohm s Law

Lab 3 - DC Circuits and Ohm s Law Lab 3 DC Circuits and Ohm s Law L3-1 Name Date Partners Lab 3 - DC Circuits and Ohm s Law OBJECTIES To learn to apply the concept of potential difference (voltage) to explain the action of a battery in

More information

Two's Complement Adder/Subtractor Lab L03

Two's Complement Adder/Subtractor Lab L03 Two's Complement Adder/Subtractor Lab L03 Introduction Computers are usually designed to perform indirect subtraction instead of direct subtraction. Adding -B to A is equivalent to subtracting B from A,

More information

MADR-009190-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. 4. Functional Schematic. Features.

MADR-009190-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. 4. Functional Schematic. Features. Features High Voltage CMOS Technology Four Channel Positive Voltage Control CMOS device using TTL input levels Low Power Dissipation Low Cost Lead-Free SOIC-16 Plastic Package Halogen-Free Green Mold Compound

More information

Chapter 10 Advanced CMOS Circuits

Chapter 10 Advanced CMOS Circuits Transmission Gates Chapter 10 Advanced CMOS Circuits NMOS Transmission Gate The active pull-up inverter circuit leads one to thinking about alternate uses of NMOS devices. Consider the circuit shown in

More information

Copyrights. Software, documentation and related materials: Copyright 2002 Altium Limited

Copyrights. Software, documentation and related materials: Copyright 2002 Altium Limited Signal Integrity Copyrights Software, documentation and related materials: Copyright 2002 Altium Limited This software product is copyrighted and all rights are reserved. The distribution and sale of this

More information

EXPRESS PCB TUTORIAL Author: Lee Morey Revised: JE Feb 2015

EXPRESS PCB TUTORIAL Author: Lee Morey Revised: JE Feb 2015 EXPRESS PCB TUTORIAL Author: Lee Morey Revised: JE Feb 2015 Getting Started There are several resources for learning how to layout schematics and PCBs. And there are several popular commercial packages.

More information

Adding Heart to Your Technology

Adding Heart to Your Technology RMCM-01 Heart Rate Receiver Component Product code #: 39025074 KEY FEATURES High Filtering Unit Designed to work well on constant noise fields SMD component: To be installed as a standard component to

More information

Mentor Tools tutorial Bold Browser Design Manager Design Architect Library Components Quicksim Creating and Compiling the VHDL Model.

Mentor Tools tutorial Bold Browser Design Manager Design Architect Library Components Quicksim Creating and Compiling the VHDL Model. Mentor Tools tutorial Bold Browser Design Manager Design Architect Library Components Quicksim Creating and Compiling the VHDL Model. Introduction To Mentor Graphics Mentor Graphics BOLD browser allows

More information

Frequency Response of Filters

Frequency Response of Filters School of Engineering Department of Electrical and Computer Engineering 332:224 Principles of Electrical Engineering II Laboratory Experiment 2 Frequency Response of Filters 1 Introduction Objectives To

More information

University of California, Berkeley Department of Electrical Engineering and Computer Sciences EE 105: Microelectronic Devices and Circuits

University of California, Berkeley Department of Electrical Engineering and Computer Sciences EE 105: Microelectronic Devices and Circuits University of California, Berkeley Department of Electrical Engineering and Computer Sciences EE 105: Microelectronic Devices and Circuits LTSpice LTSpice is a free circuit simulator based on Berkeley

More information

Digital IC Design Flow

Digital IC Design Flow Collège Militaire Royal du Canada (Cadence University Alliance Program Member) Department of Electrical and Computer Engineering Départment de Génie Electrique et Informatique RMC Microelectronics Lab

More information

Pulse Width Modulation (PWM) LED Dimmer Circuit. Using a 555 Timer Chip

Pulse Width Modulation (PWM) LED Dimmer Circuit. Using a 555 Timer Chip Pulse Width Modulation (PWM) LED Dimmer Circuit Using a 555 Timer Chip Goals of Experiment Demonstrate the operation of a simple PWM circuit that can be used to adjust the intensity of a green LED by varying

More information

PLL frequency synthesizer

PLL frequency synthesizer ANALOG & TELECOMMUNICATION ELECTRONICS LABORATORY EXERCISE 4 Lab 4: PLL frequency synthesizer 1.1 Goal The goals of this lab exercise are: - Verify the behavior of a and of a complete PLL - Find capture

More information

EE 209 Lab 1 Sound the Alarm

EE 209 Lab 1 Sound the Alarm EE 209 Lab 1 Sound the Alarm 1 Introduction In this lab you will design, implement and then test a simple logic circuit emulating a home alarm system. You will use the Digital Trainer board for this lab

More information

Design Project: Power inverter

Design Project: Power inverter Design Project: Power inverter This worksheet and all related files are licensed under the Creative Commons Attribution License, version 1.0. To view a copy of this license, visit http://creativecommons.org/licenses/by/1.0/,

More information

MADR-009269-0001TR. Single Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. V1. Functional Schematic. Features.

MADR-009269-0001TR. Single Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. V1. Functional Schematic. Features. Features High Voltage CMOS Technology Complementary Outputs Positive Voltage Control CMOS device using TTL input levels Low Power Dissipation Low Cost Plastic SOIC-8 Package 100% Matte Tin Plating over

More information

CMOS Power Consumption and C pd Calculation

CMOS Power Consumption and C pd Calculation CMOS Power Consumption and C pd Calculation SCAA035B June 1997 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor product or

More information

Introduction to OrCAD Capture and PSpice

Introduction to OrCAD Capture and PSpice Introduction to OrCAD Capture and PSpice Professor John H. Davies September 18, 2008 Abstract This handout explains how to get started with Cadence OrCAD to draw a circuit (schematic capture) and simulate

More information

Excel Basics By Tom Peters & Laura Spielman

Excel Basics By Tom Peters & Laura Spielman Excel Basics By Tom Peters & Laura Spielman What is Excel? Microsoft Excel is a software program with spreadsheet format enabling the user to organize raw data, make tables and charts, graph and model

More information

Intermediate PowerPoint

Intermediate PowerPoint Intermediate PowerPoint Charts and Templates By: Jim Waddell Last modified: January 2002 Topics to be covered: Creating Charts 2 Creating the chart. 2 Line Charts and Scatter Plots 4 Making a Line Chart.

More information

MADR-009443-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators. Functional Schematic. Features. Description. Pin Configuration 2

MADR-009443-0001TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators. Functional Schematic. Features. Description. Pin Configuration 2 Features Functional Schematic High Voltage CMOS Technology Four Channel Positive Voltage Control CMOS device using TTL input levels Low Power Dissipation Low Cost 4x4 mm, 20-lead PQFN Package 100% Matte

More information

Digital to Analog Converter. Raghu Tumati

Digital to Analog Converter. Raghu Tumati Digital to Analog Converter Raghu Tumati May 11, 2006 Contents 1) Introduction............................... 3 2) DAC types................................... 4 3) DAC Presented.............................

More information

Updates to Graphing with Excel

Updates to Graphing with Excel Updates to Graphing with Excel NCC has recently upgraded to a new version of the Microsoft Office suite of programs. As such, many of the directions in the Biology Student Handbook for how to graph with

More information

Monte Carlo Simulation of Device Variations and Mismatch in Analog Integrated Circuits

Monte Carlo Simulation of Device Variations and Mismatch in Analog Integrated Circuits Proceedings of The National Conference On Undergraduate Research (NCUR) 2006 The University of North Carolina at Asheville Asheville, North Carolina April 6 8, 2006 Monte Carlo Simulation of Device Variations

More information

1. Submission Rules. 2. Verification tools. 3. Frequent errors

1. Submission Rules. 2. Verification tools. 3. Frequent errors Design Submission 1. Submission Rules 2. Verification tools 3. Frequent errors Design submission rules 1. Send the submission form in the same time as the circuit database 2. The GDSII file must have a.gds

More information

Spreadsheet. Parts of a Spreadsheet. Entry Bar

Spreadsheet. Parts of a Spreadsheet. Entry Bar Spreadsheet Parts of a Spreadsheet 1. Open the AppleWorks program. Select spreadsheet. 2. Explore the spreadsheet setup for a while. Active Cell Address Entry Bar Column Headings Row Headings Active Cell

More information

Excel Tutorial. Bio 150B Excel Tutorial 1

Excel Tutorial. Bio 150B Excel Tutorial 1 Bio 15B Excel Tutorial 1 Excel Tutorial As part of your laboratory write-ups and reports during this semester you will be required to collect and present data in an appropriate format. To organize and

More information